Samedi, Mars 19 2011 20: 44

Fabrication de semi-conducteurs en silicium

Évaluer cet élément
(6 votes)

Présentation du processus

La description du traitement des dispositifs semi-conducteurs au silicium, soit des dispositifs discrets (un semi-conducteur contenant un seul dispositif actif, tel qu'un transistor) ou des circuits intégrés (réseaux interconnectés d'éléments actifs et passifs dans un seul substrat semi-conducteur capable d'effectuer au moins une fonction de circuit électronique) , implique de nombreuses opérations très techniques et spécifiques. L'intention de cette description est de fournir un cadre de base et une explication des principales étapes des composants utilisés dans la fabrication d'un dispositif semi-conducteur au silicium et des problèmes d'environnement, de santé et de sécurité (EHS) associés.

La fabrication d'un circuit intégré implique une séquence de processus qui peuvent être répétés plusieurs fois avant qu'un circuit ne soit complet. Les circuits intégrés les plus populaires utilisent 6 masques ou plus pour compléter les processus de structuration, 10 à 24 masques étant typiques. La fabrication d'un microcircuit commence par une tranche de silicium ultra-pure de 4 à 12 pouces de diamètre. Le silicium parfaitement pur est presque un isolant, mais certaines impuretés, appelées les dopants, ajoutés en quantités de 10 à 100 parties par million, font que le silicium est conducteur d'électricité.

Un circuit intégré peut être constitué de millions de transistors (également des diodes, des résistances et des condensateurs) en silicium dopé, tous connectés par le motif approprié de conducteurs pour créer la logique informatique, la mémoire ou un autre type de circuit. Des centaines de microcircuits peuvent être réalisés sur une plaquette.

Six étapes majeures de traitement de fabrication sont universelles pour tous les dispositifs semi-conducteurs au silicium : oxydation, lithographie, gravure, dopage, dépôt chimique en phase vapeur et métallisation. Viennent ensuite l'assemblage, les tests, le marquage, l'emballage et l'expédition.

Oxydation

Généralement, la première étape du traitement des dispositifs semi-conducteurs implique l'oxydation de la surface extérieure de la plaquette pour faire croître une fine couche (environ un micron) de dioxyde de silicium (SiO2). Cela protège principalement la surface des impuretés et sert de masque pour le processus de diffusion ultérieur. Cette capacité à faire croître une tranche protectrice chimiquement stable de dioxyde de silicium sur du silicium fait des tranches de silicium le substrat semi-conducteur le plus largement utilisé.

L'oxydation, communément appelée oxydation thermique, est un procédé discontinu qui se déroule dans un four de diffusion à haute température. La couche protectrice de dioxyde de silicium est développée dans des atmosphères contenant soit de l'oxygène (O2) (oxydation sèche) ou de l'oxygène combiné à de la vapeur d'eau (H2O) (oxydation humide). Les températures dans le four vont de 800 à 1,300 XNUMXoC. Des composés chlorés sous forme de chlorure d'hydrogène (HCl) peuvent également être ajoutés pour aider à contrôler les impuretés indésirables.

La tendance dans les nouvelles installations de fabrication est aux fours d'oxydation verticaux. Les fours verticaux répondent mieux au besoin d'un meilleur contrôle de la contamination, d'une taille de plaquette plus grande et d'un traitement plus uniforme. Ils permettent un encombrement réduit de l'équipement qui préserve l'espace précieux au sol de la salle blanche.

Oxydation sèche

Les tranches de silicium à oxyder sont d'abord nettoyées à l'aide d'une solution de détergent et d'eau, et rincées au solvant avec du xylène, de l'alcool isopropylique ou d'autres solvants. Les tranches nettoyées sont séchées, chargées dans un support de tranches de quartz appelé Boat et chargé dans le côté opérateur (fin de charge) du tube ou de la cellule du four à diffusion en quartz. L'extrémité d'entrée du tube (extrémité source) fournit de l'oxygène de haute pureté ou un mélange oxygène/azote. Le débit d'oxygène « sec » est contrôlé dans le tube de quartz et garantit qu'un excès d'oxygène est disponible pour la croissance du dioxyde de silicium sur la surface de la plaquette de silicium. La réaction chimique de base est :

Oui + O2 → Si O2

Oxydation humide

Quatre méthodes d'introduction de vapeur d'eau sont couramment utilisées lorsque l'eau est l'agent oxydant : pyrophorique, haute pression, barboteur et flash. Les réactions chimiques de base sont :

Pyrophorique et haute pression : Si + 2O2 + 2 H2 → Si O2 + 2H2O

Flash et barboteur : Si + 2H2O → SiO2 + 2H2

Oxydation pyrophorique implique l'introduction et la combustion d'un mélange gazeux hydrogène/oxygène. De tels systèmes sont généralement appelés hydrogène brûlé or torche systèmes. La vapeur d'eau est produite lorsque des quantités appropriées d'hydrogène et d'oxygène sont introduites à l'extrémité d'entrée du tube et laissées réagir. Le mélange doit être contrôlé avec précision pour garantir une bonne combustion et éviter l'accumulation d'hydrogène gazeux explosif.

Oxydation à haute pression (HiPox) est techniquement appelé un système de pyrosynthèse de l'eau et génère de la vapeur d'eau par la réaction d'hydrogène et d'oxygène ultra-purs. La vapeur est ensuite pompée dans une chambre à haute pression et pressurisée à 10 atmosphères, ce qui accélère le processus d'oxydation par voie humide. L'eau déionisée peut également être utilisée comme source de vapeur.

In oxydation du barboteur l'eau déminéralisée est placée dans un récipient appelé barboteur et maintenu à une température constante en dessous de son point d'ébullition de 100°C grâce à l'utilisation d'un chauffe-ballon. L'azote ou l'oxygène gazeux pénètre par le côté entrée du barboteur, se sature de vapeur d'eau lorsqu'il monte dans l'eau et sort par la sortie dans le four à diffusion. Les systèmes de barbotage semblent être la méthode d'oxydation la plus largement utilisée.

In oxydation éclair de l'eau désionisée coule en continu dans la surface inférieure chauffée d'un récipient en quartz et l'eau s'évapore rapidement une fois qu'elle touche la surface chaude. L'azote ou le gaz porteur d'oxygène s'écoule sur l'eau qui s'évapore et transporte la vapeur d'eau dans le four de diffusion.

Lithographie

La lithographie, également connue sous le nom de photolithographie ou simplement de masquage, est une méthode de formation précise de motifs sur la tranche oxydée. Le circuit microélectronique est construit couche par couche, chaque couche recevant un motif à partir d'un masque prescrit dans la conception du circuit.

Les métiers de l'imprimerie ont développé les véritables antécédents des processus de microfabrication de dispositifs à semi-conducteurs d'aujourd'hui. Ces développements concernent la fabrication de plaques d'impression, généralement en métal, sur lesquelles l'enlèvement de matière par attaque chimique produit un motif en relief de surface. Cette même technique de base est utilisée dans la production maître masques utilisé dans la fabrication de chaque couche de traitement d'un dispositif.

Les concepteurs de circuits numérisent les circuits de base de chaque couche. Ce schéma informatisé permet une génération rapide du circuit du masque et facilite les modifications éventuellement nécessaires. Cette technique est connue sous le nom de conception assistée par ordinateur (CAO). Utilisant de puissants algorithmes informatiques, ces systèmes de conception en ligne permettent au concepteur de disposer et de modifier les circuits directement sur des écrans d'affichage vidéo avec des capacités graphiques interactives.

Le dessin final, ou masque, pour chaque couche de circuits est créé par un phototraceur piloté par ordinateur ou un générateur de motifs. Ces dessins phototracés sont ensuite réduits à la taille réelle du circuit, un masque maître réalisé sur verre avec relief chromé, et reproduit sur une plaque de travail qui sert soit à l'impression par contact soit par projection sur le wafer.

Ces masques délimitent le motif des zones conductrices et isolantes qui sont transférées sur la tranche par photolithographie. La plupart des entreprises ne produisent pas leurs propres masques, mais utilisent ceux fournis par un fabricant de masques.

Nettoyage

Le besoin d'une surface de plaquette extérieure exempte de particules et de contamination nécessite un nettoyage fréquent. Les grandes catégories sont :

  • lavage à l'eau déminéralisée et au détergent
  • solvant : alcool isopropylique (IPA), acétone, éthanol, terpènes
  • acide : fluorhydrique (HF), sulfurique (H2SO4) et le peroxyde d'hydrogène (H2O2), chlorhydrique (HCl), nitrique (HNO3) et mélanges
  • caustique : hydroxyde d'ammonium (NH4OH).

 

Résister à l'application

Les tranches sont recouvertes d'un matériau de réserve de polymère à base de solvant et mises en rotation rapide sur un fileur, qui étale une fine couche uniforme. Les solvants s'évaporent alors, laissant un film polymérique. Tous les matériaux de réserve dépendent des changements induits par le rayonnement (principalement ultraviolet) dans la solubilité d'un polymère organique synthétique dans un rinçage de révélateur sélectionné. Les matériaux de réserve sont classés comme des réserves négatives ou positives, selon que la solubilité dans le révélateur diminue (négative) ou augmente (positive) lors de l'exposition au rayonnement. Le tableau 1 identifie la composition des composants de divers systèmes de photorésist.

Tableau 1. Systèmes de photorésist

Ultra-violet

Proche (350–450 nm)

Négatif

PB
S
D

Caoutchouc aliphatique à base d'azoture (isoprène)
Acétate de n-butyle, xylène, n-méthyl-2-pyrrolidone, éthylbenzène
Xylène, hydrocarbures aliphatiques, acétate de n-butyle,
Solvant Stoddard (distillats de pétrole)

 

Positif

PB
S


D

Ortho-diazocétone
Acétate d'éther monométhylique de propylène glycol, lactate d'éthyle, méthyl
propionate de méthoxy, éthoxy propionate d'éthyle, acétate de n-butyle, xylène,
chlorotoluène
Hydroxyde de sodium, silicates, hydroxyde de potassium

Profond (200–250 nm)

Principalement
résistances positives

   

Faisceau d'électrons (environ 100 nm)

 

Négatif

PB
S
D

Copolymère-acrylate d'éthyle et méthacrylate de glycidyle (COP)
n / a
n / a

 

Positif

PB

S
D

Polyméthylméthacrylate, polyfluoralkylméthacrylate, polyalkylaldéhyde, poly-cyanoéthylacrylate
Acétate d'éther monométhylique de propylène glycol
Alcalin ou IPA, acétate d'éthyle ou méthylisobutylcétone (MIBK)

Rayons X (0.5 à 5 nm)

 

Négatif

PB
S
D

Copolymère-acrylate d'éthyle et méthacrylate de glycidyle (COP)
n / a
n / a

 

Positif

PB

S
D

Polyméthacrylate de méthyle, ortho-diazocétone, poly
(hexa-fluorobutylméthacrylate), poly (butène-1-sulfone)
Acétate d'éther monométhylique de propylène glycol
n / a

PB = base polymère ; S = solvant ; D = développeur.

Étant donné que la plupart des résines photosensibles sont sensibles à la lumière ultraviolette (UV), la zone de traitement est éclairée par des lumières jaunes spéciales dépourvues de longueurs d'onde UV sensibles (voir figure 1).

Figure 1. Équipement de photolithographie « chambre jaune »

MIC020F3

Les résines UV négatives et positives sont principalement utilisées dans l'industrie. Cependant, les résistances aux faisceaux d'électrons et aux rayons X gagnent des parts de marché en raison de leurs résolutions plus élevées. Les problèmes de santé liés à la lithographie sont principalement causés par les risques potentiels pour la reproduction associés à certaines résines positives (par exemple, l'acétate d'éther monoéthylique d'éthylène glycol en tant que support) qui sont actuellement éliminées par l'industrie. Les odeurs occasionnelles des résines négatives (par exemple, le xylène) inquiètent également les employés. En raison de ces préoccupations, les hygiénistes industriels de l'industrie des semi-conducteurs passent beaucoup de temps à échantillonner les opérations de photorésist. Bien que cela soit utile pour caractériser ces opérations, les expositions de routine pendant les opérations d'essorage et de développement sont généralement inférieures à 5 % des normes atmosphériques pour l'exposition professionnelle aux solvants utilisés dans le processus (Scarpace et al. 1989).

Une exposition d'une heure à l'acétate d'éther monoéthylique d'éthylèneglycol de 1 ppm a été trouvée pendant le fonctionnement d'un système de centrifugation. Cette exposition était principalement causée par de mauvaises pratiques de travail lors de l'opération d'entretien (Baldwin, Rubin et Horowitz 6.3).

Séchage et précuisson

Après l'application de la résine, les tranches sont déplacées sur une piste ou déplacées manuellement de la centrifugeuse vers un four à température contrôlée avec une atmosphère d'azote. Une température modérée (70 à 90°C) provoque le durcissement de la résine photosensible (cuisson douce) et l'évaporation des solvants restants.

Pour assurer l'adhésion de la couche de réserve à la plaquette, un primaire, l'hexaméthyldisilizane (HMDS), est appliqué sur la plaquette. L'amorce fixe l'eau moléculaire à la surface de la plaquette. Le HMDS est appliqué soit directement dans un processus d'immersion ou de centrifugation, soit via un amorçage à la vapeur qui offre des avantages de processus et de coût par rapport aux autres méthodes.

Alignement du masque et exposition

Le masque et la plaquette sont rapprochés à l'aide d'un équipement optique/mécanique précis, et l'image sur le masque est alignée sur tout motif existant déjà dans la plaquette sous la couche de résine photosensible. Pour le premier masque, aucun alignement n'est nécessaire. Dans les technologies plus anciennes, l'alignement des couches successives est rendu possible par l'utilisation d'un biscope (microscope à double lentille) et de commandes de précision pour positionner la plaquette par rapport au masque. Dans les nouvelles technologies, l'alignement se fait automatiquement à l'aide de points de référence sur les tranches.

Une fois l'alignement effectué, une source de vapeur de mercure ultraviolette à haute intensité ou de lampe à arc brille à travers le masque, exposant la résine à des endroits non protégés par des régions opaques du masque.

Les différentes méthodes d'alignement et d'exposition des plaquettes comprennent l'exposition aux UV (contact ou proximité), l'exposition aux UV à travers une lentille de projection pour la réduction (projection), l'exposition par étape UV et la réduction répétée (projection), l'inondation aux rayons X (proximité) et le balayage par faisceau d'électrons exposition (écriture directe). La principale méthode utilisée implique l'exposition aux UV des vapeurs de mercure et des lampes à arc via des aligneurs de proximité ou de projection. Les résines UV sont soit conçues pour réagir à un large spectre de longueurs d'onde UV, soit elles sont formulées pour réagir préférentiellement à une ou plusieurs des principales raies du spectre émises par la lampe (par exemple, la raie g à 435 nm, la raie h à 405 nm et ligne i à 365 nm).

Les longueurs d'onde prédominantes de la lumière UV actuellement utilisées dans le photomasquage sont de 365 nm ou plus, mais les spectres des lampes UV contiennent également une énergie significative dans la région de longueur d'onde préoccupante pour la santé, la région actinique inférieure à 315 nm. Normalement, l'intensité du rayonnement UV s'échappant de l'équipement est inférieure à la fois à ce qui est présent dans la région actinique de la lumière du soleil et aux normes fixées pour l'exposition professionnelle aux UV.

Occasionnellement pendant l'entretien, l'alignement de la lampe UV nécessite qu'elle soit alimentée à l'extérieur de l'armoire de l'équipement ou sans les filtres de protection normaux. Les niveaux d'exposition au cours de cette opération peuvent dépasser les limites d'exposition professionnelle, mais une tenue de salle blanche standard (par exemple, des blouses, des gants en vinyle, des masques faciaux et des lunettes de sécurité en polycarbonate avec inhibiteur d'UV) est généralement suffisante pour atténuer la lumière UV en dessous des limites d'exposition (Baldwin et Stewart 1989 ).

Alors que les longueurs d'onde prédominantes pour les lampes ultraviolettes utilisées en photolithographie sont de 365 nm ou plus, la recherche de fonctionnalités plus petites dans les circuits intégrés avancés conduit à l'utilisation de sources d'exposition avec des longueurs d'onde plus petites, telles que les rayons UV et X profonds. Une nouvelle technologie à cet effet est l'utilisation de lasers à excimère krypton-fluorure utilisés dans les steppers. Ces steppers utilisent une longueur d'onde de 248 nm avec des sorties de puissance laser élevées. Cependant, les enceintes de ces systèmes contiennent le faisceau pendant le fonctionnement normal.

Comme avec d'autres équipements contenant des systèmes laser haute puissance utilisés dans la fabrication de semi-conducteurs, la principale préoccupation est de savoir quand les verrouillages du système doivent être vaincus pendant l'alignement du faisceau. Les lasers à haute puissance sont également l'un des risques électriques les plus importants dans l'industrie des semi-conducteurs. Même après la mise hors tension, un potentiel de choc important existe dans l'outil. Les contrôles et les considérations de conception de sécurité pour ces systèmes sont couverts par Escher, Weathers et Labonville (1993).

Les rayons X sont une source d'exposition de technologie avancée utilisée en lithographie. Les niveaux d'émission des sources de lithographie à rayons X peuvent entraîner des débits de dose approchant 50 millisieverts (5 rems) par an au centre de l'équipement. Il est recommandé de restreindre l'accès aux zones à l'intérieur du mur blindé pour minimiser l'exposition (Rooney et Leavey 1989).

Développement

Au cours de l'étape de développement, les zones non polymérisées de la réserve sont dissoutes et éliminées. Un révélateur à base de solvant est appliqué sur la surface de tranche recouverte de réserve par immersion, pulvérisation ou atomisation. Les solutions de révélateur sont identifiées dans le tableau 1. Un rinçage au solvant (n-acétate de butyle, alcool isopropylique, acétone, etc.) est généralement appliqué après le révélateur pour éliminer tout matériau résiduel. La réserve restante après le développement protège les couches individuelles lors du traitement ultérieur.

Patisserie

Après alignement, exposition et développement de la réserve, les tranches passent ensuite dans un autre four à température contrôlée avec une atmosphère d'azote. Le four à température plus élevée (120 à 135°C) provoque le durcissement et la polymérisation complète de la résine photosensible sur la surface de la plaquette (cuisson dure).

Décapage de la résine photosensible

La plaquette développée est ensuite sélectivement gravée à l'aide de produits chimiques humides ou secs (voir « Gravure » ci-dessous). La résine photosensible restante doit être retirée de la plaquette avant un traitement ultérieur. Cela se fait soit en utilisant des solutions chimiques humides dans des bains à température contrôlée, soit en utilisant un incinérateur au plasma ou un produit chimique sec. Le tableau 2 identifie les constituants chimiques humides et secs. Une discussion sur la gravure au plasma chimique sèche - utilisant le même équipement et les mêmes principes de fonctionnement que l'incinération au plasma - suit.


Tableau 2. Décapants de photorésist

Produit chimique humide

 Acide

Sulfurique (H2SO4) et chromique (CrO3)

Sulfurique (H2SO4) et le persulfate d'ammonium ((NH4)2S2O8)

Sulfurique (H2SO4) et le peroxyde d'hydrogène (H2O2)

Organics

Phénols, acides sulfuriques, trichlorobenzène, perchloroéthylène

Éthers de glycol, éthanolamine, triéthanolamine

Hydroxyde de sodium et silicates (résine positive)

Produit chimique sec

Incinération au plasma (décapage)

Source d'alimentation RF (radiofréquence) - fréquence 13.56 MHz ou 2,450 XNUMX MHz

L'oxygène (O2) source de gaz

Systèmes de pompe à vide

—Huile lubrifiée avec piège à azote liquide (ancienne technologie)
—Lubrifié avec des fluides perfluoropolyéther inertes (nouvelle technologie)
—Pompe sèche (technologie la plus récente)


Gravure

La gravure élimine les couches de dioxyde de silicium (SiO2), les métaux et le polysilicium, ainsi que les résines, selon les motifs souhaités délimités par la résine. Les deux principales catégories de gravure sont les produits chimiques humides et secs. La gravure humide est principalement utilisée et implique des solutions contenant les agents de gravure (généralement un mélange d'acides) aux concentrations souhaitées, qui réagissent avec les matériaux à éliminer. La gravure sèche implique l'utilisation de gaz réactifs sous vide dans une chambre hautement sous tension, qui élimine également les couches souhaitées non protégées par la réserve.

Produit chimique humide

Les solutions de gravure chimique humide sont logées dans des bains de gravure à température contrôlée en polypropylène (poly-pro), en polypropylène ignifuge (FRPP) ou en chlorure de polyvinyle (PVC). Les bains sont généralement équipés soit d'une ventilation par plénum de type annulaire, soit d'un échappement à fentes à l'arrière de la station de gravure chimique par voie humide. Les hottes à flux laminaire vertical fournissent de l'air exempt de particules uniformément filtré à la surface supérieure des bains de gravure. Les solutions chimiques de gravure humide courantes sont présentées dans le tableau 3, en relation avec la couche de surface à graver.

Tableau 3. Acides chimiques humides

Matériel à graver

Etchants

Silicium

Silicium polycristallin (Si)

Acides fluorhydrique, nitrique, acétique et iode
L'hydroxyde de potassium
Éthylène diamine/catéchol
Fluorure d'ammonium, acides acétique et nitrique glacial

Dioxyde de silicium (SiO2)

Gravure à l'oxyde tamponné (BOE) - Fluorhydrique et
fluorure d'ammonium
BOE, éthylène glycol, éther monométhylique
Fluorhydrique et nitrique (P-etch)

Nitrure de silicium (Si3N4)

Acides phosphorique et fluorhydrique

Oxyde CVD ou gravure au tampon

Fluorure d'ammonium, acides acétique et fluorhydrique

Métaux

Aluminium (Al)

Acides phosphorique, nitrique, acétique et chlorhydrique
Hydroxyde de sodium, hydroxyde de potassium

Chrome-Nickel (Cr/Ni)

Nitrate d'ammonium cérique et acide nitrique
Acides chlorhydrique et nitrique (eau régale)

Or (Au)

Acides chlorhydrique et nitrique (eau régale)
Iodure de potassium (KI)
Cyanure de potassium (KCN) et peroxyde d'hydrogène (H2O2)
Chlorure ferrique (FeCl3) et acide chlorhydrique

Argent (Ag)

Nitrate ferrique (FeNO3) et éthylène glycol
Acide nitrique

Composé

Laits en poudre

Concentration standard (%)

Acide acétique

CH3COOH

36

Fluorure d'ammonium

NH4F

40

L'acide acétique glacial

CH3COOH

99.5

Acide chlorhydrique

HCl

36

Acide hydrofluorique

HF

49

Acide nitrique

HNO3  

67

Acide phosphorique

H3PO4  

85

L'hydroxyde de potassium

KOH

50 ou 10

Hydroxyde de sodium

NaOH

50 ou 10

acide sulfurique

H2SO4  

96

 

Les capots d'alimentation à flux montés verticalement, lorsqu'ils sont utilisés en conjonction avec des écrans anti-éclaboussures et une ventilation d'échappement, peuvent créer des zones de turbulence d'air dans la station de gravure chimique humide. En conséquence, une diminution est possible de l'efficacité de la ventilation par aspiration locale dans la capture et l'acheminement des contaminants atmosphériques fugitifs des bains de gravure utilisés.

La principale préoccupation de la gravure humide est la possibilité d'un contact cutané avec les acides concentrés. Alors que tous les acides utilisés dans la gravure peuvent provoquer des brûlures acides, l'exposition à l'acide fluorhydrique (HF) est particulièrement préoccupante. Le délai entre le contact avec la peau et la douleur (jusqu'à 24 heures pour les solutions à moins de 20 % de HF et de 1 à 8 heures pour les solutions à 20 à 50 %) peut entraîner un traitement retardé et des brûlures plus graves que prévu (Hathaway et al. 1991) .

Historiquement, les brûlures à l'acide ont été un problème particulier au sein de l'industrie. Cependant, l'incidence du contact cutané avec des acides a été réduite ces dernières années. Une partie de cette réduction a été causée par des améliorations liées au produit dans le processus de gravure, telles que le passage à la gravure sèche, l'utilisation de plus de robotique et l'installation de systèmes de distribution de produits chimiques. La réduction du taux de brûlures à l'acide peut également être attribuée à de meilleures techniques de manipulation, à une plus grande utilisation d'équipements de protection individuelle, à des ponts humides mieux conçus et à une meilleure formation - qui nécessitent tous une attention continue si le taux doit diminuer davantage (Baldwin et Williams 1996 ).

Produit chimique sec

La gravure chimique sèche est un domaine d'intérêt et d'utilisation croissants en raison de sa capacité à mieux contrôler le processus de gravure et à réduire les niveaux de contamination. Le traitement chimique à sec grave efficacement les couches souhaitées grâce à l'utilisation de gaz chimiquement réactifs ou par bombardement physique.

Des systèmes de gravure au plasma chimiquement réactifs ont été développés qui peuvent graver efficacement le silicium, le dioxyde de silicium, le nitrure de silicium, l'aluminium, le tantale, les composés du tantale, le chrome, le tungstène, l'or et le verre. Deux types de systèmes de réacteurs de gravure au plasma sont utilisés - le barillet, ou cylindrique, et la plaque parallèle, ou planaire. Les deux fonctionnent sur les mêmes principes et ne varient principalement que dans la configuration.

Un plasma est similaire à un gaz sauf que certains des atomes ou molécules du plasma sont ionisés et peuvent contenir un nombre substantiel de radicaux libres. Le réacteur typique consiste en une chambre de réacteur sous vide contenant la plaquette, généralement en aluminium, verre ou quartz; une source d'énergie radiofréquence (RF) - généralement à 450 kHz, 13.56 MHz ou 40.5 MHz et un module de contrôle pour contrôler le temps de traitement, la composition du gaz réactif, le débit de gaz et le niveau de puissance RF. De plus, une source de vide à pompe primaire lubrifiée à l'huile (technologie plus ancienne) ou sèche (technologie plus récente) est alignée avec la chambre du réacteur. Les plaquettes sont chargées dans le réacteur, soit individuellement, soit dans des cassettes, une pompe fait le vide dans la chambre et le gaz réactif (généralement du tétrafluorure de carbone) est introduit. L'ionisation du gaz forme le plasma de gravure, qui réagit avec les tranches pour former des produits volatils qui sont pompés. L'introduction de gaz réactif frais dans la chambre maintient l'activité de gravure. Le tableau 4 identifie les matériaux et les gaz plasma utilisés pour graver différentes couches.

Tableau 4. Gaz de gravure au plasma et matériaux gravés

Matières

Gaz

Silicium

Polysilicium (polySi) et Silicium

FC + O2, CCl4 ou FC3Cl, FC4 et HCl

Dioxyde de silicium (SiO2)

C2F6, C3F8, FC4, SiF4, C5F12, CHF3, CCl2F2, SF6, HF

Nitrure de silicium (Si3N4)

CF4 + Ar, CF4 + O2, FC4 + H2

Métaux

Aluminium (Al)

CCl4 ou BCl3 + He ou Ar

Chrome (Cr)

CCl4

Oxyde de chrome (CrO3)

Cl2 + Ar ou CCl4 +Ar

Arséniure de gallium (GaAs)

CCl2F2

vanadium (V)

CF4

Titane (Ti)

CF4

Tantule (Ta)

CF4

Molybdène (Mo)

CF4

Tungstène (W)

CF4

 

Une autre méthode en cours de développement pour la gravure est la micro-onde en aval. Il utilise une décharge micro-onde à haute densité de puissance pour produire des atomes métastables avec de longues durées de vie qui gravent le matériau presque comme s'il était immergé dans de l'acide.

Les processus de gravure physique sont similaires au sablage en ce sens que des atomes de gaz d'argon sont utilisés pour bombarder physiquement la couche à graver. Un système de pompe à vide est utilisé pour retirer le matériau disloqué. La gravure ionique réactive implique une combinaison de gravure sèche chimique et physique.

Le processus de pulvérisation cathodique est un processus d'impact ionique et de transfert d'énergie. La gravure par pulvérisation comprend un système de pulvérisation, où la plaquette à graver est fixée à une électrode négative ou à une cible dans un circuit de décharge luminescente. Le matériau pulvérise de la plaquette par bombardement avec des ions positifs, généralement de l'argon, et entraîne la dislocation des atomes de surface. L'alimentation est fournie par une source RF à une fréquence de 450 kHz. Un système de vide en ligne est utilisé pour le contrôle de la pression et l'élimination des réactifs.

La gravure et le fraisage par faisceau d'ions est un processus de gravure doux qui utilise un faisceau d'ions à faible énergie. Le système à faisceau ionique se compose d'une source pour générer le faisceau ionique, d'une chambre de travail dans laquelle se produit la gravure ou le fraisage, d'une fixation avec une plaque cible pour maintenir les plaquettes dans le faisceau ionique, d'un système de pompe à vide, de l'électronique de support et des instruments. Le faisceau d'ions est extrait d'un gaz ionisé (argon ou argon/oxygène) ou plasma, qui est créé par la décharge électrique. La décharge est obtenue en appliquant une tension entre une cathode à filament chaud émetteur d'électrons et un cylindre anodique situé dans le diamètre extérieur de la zone de décharge.

Le broyage par faisceau d'ions est effectué dans la plage de basse énergie du bombardement ionique, où seules les interactions de surface se produisent. Ces ions, généralement dans la gamme de 500 à 1,000 XNUMX eV, frappent une cible et crachoter atomes de surface en brisant les forces liant l'atome à son voisin. La gravure par faisceau d'ions est effectuée dans une plage d'énergie légèrement supérieure, ce qui implique une dislocation plus spectaculaire des atomes de surface.

La gravure ionique réactive (RIE) est une combinaison de pulvérisation physique et de gravure d'espèces réactives chimiques à basse pression. RIE utilise le bombardement ionique pour réaliser une gravure directionnelle et également un gaz chimiquement réactif, le tétrafluorure de carbone (CF4) ou le tétrachlorure de carbone (CCl4), pour maintenir une bonne sélectivité de la couche gravée. Une plaquette est placée dans une chambre avec une atmosphère de composé gazeux chimiquement réactif à une basse pression d'environ 0.1 torr (1.3 x 10-4 atmosphère). Une décharge électrique crée un plasma de « radicaux libres » réactifs (ions) d'une énergie de quelques centaines d'électrons volts. Les ions frappent verticalement la surface de la plaquette, où ils réagissent pour former des espèces volatiles qui sont éliminées par un système de vide en ligne à basse pression.

Les graveurs à sec ont parfois un cycle de nettoyage qui est utilisé pour éliminer les dépôts qui s'accumulent à l'intérieur des chambres de réaction. Les composés parents utilisés pour les plasmas du cycle de nettoyage comprennent le trifluorure d'azote (NF3), hexafluoroéthane (C2F6) et octafluoropropane (C3F8).

Ces trois gaz utilisés dans le processus de nettoyage, et de nombreux gaz utilisés dans la gravure, sont la pierre angulaire d'un problème environnemental auquel l'industrie des semi-conducteurs est confrontée et qui a fait surface au milieu des années 1990. Plusieurs des gaz hautement fluorés ont été identifiés comme ayant un potentiel significatif de réchauffement global (ou d'effet de serre). (Ces gaz sont également appelés PFC, composés perfluorés.) La longue durée de vie dans l'atmosphère, le potentiel de réchauffement climatique élevé et l'utilisation accrue significative des PFC comme le NF3, C2F6, C3F8, FC4, trifluorométhane (CHF3) et l'hexafluorure de soufre (SF6) a amené l'industrie des semi-conducteurs à se concentrer sur les moyens de réduire ses émissions.

Les émissions atmosphériques de PFC provenant de l'industrie des semi-conducteurs sont dues à une faible efficacité des outils (de nombreux outils ne consommaient que 10 à 40 % du gaz utilisé) et à un équipement de réduction des émissions atmosphériques inadéquat. Les épurateurs humides ne sont pas efficaces pour éliminer les PFC, et des tests sur de nombreuses unités de combustion ont révélé des efficacités de destruction médiocres pour certains gaz, en particulier les CF4. Beaucoup de ces unités de combustion sont tombées en panne C2F6 et C3F8 dans les FC4. En outre, le coût élevé de possession de ces outils de réduction, leur demande en énergie, leur dégagement d'autres gaz à effet de serre et leurs sous-produits de combustion de polluants atmosphériques dangereux indiquaient que la réduction de la combustion n'était pas une méthode appropriée pour contrôler les émissions de PFC.

Rendre les outils de traitement plus efficaces, identifier et développer des alternatives plus respectueuses de l'environnement à ces gaz de gravure à sec et la récupération/recyclage des gaz d'échappement ont été les accents environnementaux associés aux gravures à sec.

L'accent principal de l'hygiène professionnelle pour les graveurs à sec a été mis sur les expositions potentielles du personnel de maintenance travaillant sur les chambres de réaction, les pompes et autres équipements associés pouvant contenir des résidus de produits de réaction. La complexité des graveurs plasma et la difficulté à caractériser les odeurs liées à leur maintenance en ont fait l'objet de nombreuses investigations.

Les produits de réaction formés dans les graveurs de métaux au plasma sont un mélange complexe de composés chlorés et fluorés. L'entretien des graveurs de métaux implique souvent des opérations de courte durée qui génèrent de fortes odeurs. L'hexachloroéthane s'est avéré être la principale cause d'odeur dans un type de graveur d'aluminium (Helb et al. 1983). Dans un autre, le chlorure de cyanogène était le principal problème : les niveaux d'exposition étaient 11 fois supérieurs à la limite d'exposition professionnelle de 0.3 ppm (Baldwin 1985). Dans encore d'autres types de graveurs, le chlorure d'hydrogène est associé à l'odeur; l'exposition maximale mesurée était de 68 ppm (Baldwin, Rubin et Horowitz 1993). Pour plus d'informations sur le sujet, voir Mueller et Kunesh (1989).

La complexité des chimies présentes dans les gaz d'échappement des graveurs de métaux a conduit les chercheurs à développer des méthodes expérimentales pour étudier la toxicité de ces mélanges (Bauer et al. 1992a). L'application de ces méthodes dans les études sur les rongeurs indique que certains de ces mélanges chimiques sont présumés mutagènes (Bauer et al. 1992b) et présumés toxiques pour la reproduction (Schmidt et al. 1995).

Étant donné que les graveurs à sec fonctionnent comme des systèmes fermés, l'exposition chimique des opérateurs de l'équipement ne se produit généralement pas lorsque le système est fermé. Une rare exception à cela est lorsque le cycle de purge des anciens graveurs discontinus n'est pas assez long pour éliminer correctement les gaz de gravure. Des expositions brèves mais irritantes à des composés fluorés qui sont en dessous de la limite de détection pour les procédures typiques de surveillance de l'hygiène industrielle ont été signalées lorsque les portes de ces graveurs sont ouvertes. Normalement, cela peut être corrigé en augmentant simplement la durée du cycle de purge avant d'ouvrir la porte de la chambre de gravure.

La principale préoccupation concernant l'exposition de l'opérateur à l'énergie RF survient lors de la gravure au plasma et de l'incinération (Cohen 1986 ; Jones 1988). En règle générale, la fuite d'énergie RF peut être causée par :

  • portes mal alignées
  • fissures et trous dans les armoires
  • tables métalliques et câbles électriques faisant office d'antennes en raison d'une mauvaise mise à la terre du graveur
  • pas d'écran atténuateur dans la fenêtre de visualisation du graveur (Jones 1988; Horowitz 1992).

 

L'exposition aux RF peut également se produire lors de la maintenance des graveurs, en particulier si l'armoire de l'équipement a été retirée. Une exposition de 12.9 mW/cm2 a été trouvé au sommet d'un ancien modèle de graveur à plasma dont le couvercle a été retiré pour l'entretien (Horowitz 1992). La fuite de rayonnement RF réelle dans la zone où se tient l'opérateur était généralement inférieure à 4.9 mW/cm2.

dopage

La formation d'une jonction électrique ou d'une frontière entre p et n régions dans une plaquette de silicium monocristallin est l'élément essentiel pour le fonctionnement de tous les dispositifs à semi-conducteurs. Les jonctions permettent au courant de circuler dans un sens beaucoup plus facilement que dans l'autre. Ils fournissent la base des effets de diode et de transistor dans tous les semi-conducteurs. Dans un circuit intégré, un nombre contrôlé d'impuretés élémentaires ou de dopants, doit être introduit dans des régions gravées sélectionnées du substrat de silicium, ou tranche. Cela peut être fait soit par des techniques de diffusion ou d'implantation ionique. Quelle que soit la technique utilisée, les mêmes types ou dopants sont utilisés pour la réalisation des jonctions semi-conductrices. Le tableau 5 recense les principaux composants utilisés pour le dopage, leur état physique, type électrique (p or n) et la technique de jonction primaire utilisée - diffusion ou implantation ionique.

Tableau 5. Dopants de formation de jonction pour la diffusion et l'implantation ionique

Élément

Composé

Laits en poudre

Région

Technique

type n

Antimoine

Trioxyde d'antimoine
Trichlorure d'antimoine

Sb2O3
SbCl3

Solide
Liquide

La diffusion
La diffusion

Arsenic

Trioxyde d'arsenic
Trioxyde d'arsenic
Arsine
Pentafluorure d'arsenic

As2O3
As2O3
Cendre3
FSA5

Solide
Liquide
Gaz
Gaz

La diffusion
Diffusion—faites tourner
Diffusion et implantation ionique
Implantation ionique

Phosphore

Pentoxyde de phosphore
Pentoxyde de phosphore
Tribromure de phosphore
Trichlorure de phosphore
Oxychlorure de phosphore
Phosphine
Pentafluorure de phosphore

P2O5
P2O5
PBr3
PCl3
POCI3
PH3
PF5

Solide
Liquide
Liquide
Liquide
Liquide
Gaz
Gaz

La diffusion
Diffusion—faites tourner
La diffusion
La diffusion
La diffusion
Implantation ionique
Implantation ionique

type p

Bore

Nitrure de bore
Tribromure de bore
Trioxyde de bore
Trioxyde de bore
Borate de triéthyle
Tétrabromure de silicium
Trichlorure de bore
Trifluorure de bore
Diborane

BN
BBr3
B2O3
B2O3
B(COC2H5)3
SiBr4
BCl3
BF3
B2H6

Solide
Liquide
Solide
Liquide
Liquide
Liquide
Liquide
Gaz
Gaz

La diffusion
La diffusion
La diffusion
Diffusion—faites tourner
Diffusion—faites tourner
La diffusion
Implantation ionique par diffusion
Implantation ionique
Implantation ionique

 

Les expositions chimiques de routine des opérateurs des fours à diffusion et des implanteurs ioniques sont faibles, généralement inférieures à la limite de détection des procédures d'échantillonnage d'hygiène professionnelle standard. Les préoccupations chimiques avec le centre de processus sur la possibilité de rejets de gaz toxiques.

Dès les années 1970, les fabricants de semi-conducteurs progressistes ont commencé à installer les premiers systèmes de surveillance continue des gaz inflammables et toxiques. L'objectif principal de cette surveillance était de détecter les rejets accidentels des gaz dopants les plus toxiques avec des seuils d'odeur supérieurs à leurs limites d'exposition professionnelle (par exemple, l'arsine et le diborane).

La plupart des moniteurs d'air d'hygiène industrielle dans l'industrie des semi-conducteurs sont utilisés pour la détection de fuites de gaz inflammables et toxiques. Cependant, certaines installations utilisent également des systèmes de surveillance continue pour :

  • analyser les émissions du conduit d'échappement (cheminée)
  • quantifier les concentrations de produits chimiques volatils dans l'air ambiant
  • identifier et quantifier les odeurs dans les zones de fabrication.

 

Les technologies les plus utilisées dans l'industrie des semi-conducteurs pour ce type de surveillance sont la détection de gaz colorimétrique (par exemple, le détecteur de gaz continu MDA), les capteurs électrochimiques (par exemple, les moniteurs sensydyne) et l'infrarouge à transformée de Fourier (par exemple, Telos ACM) (Baldwin et Williams 1996) .

La diffusion

La diffusion est un terme utilisé pour décrire le mouvement des dopants depuis les régions de concentration élevée à l'extrémité source du four de diffusion vers les régions de concentration inférieure à l'intérieur de la tranche de silicium. La diffusion est la méthode la plus établie de formation de jonction.

Cette technique consiste à soumettre une tranche à une atmosphère chauffée à l'intérieur du four de diffusion. Le four contient les dopants souhaités sous forme de vapeur et aboutit à la création de zones d'activité électrique dopée, soit p or n. Les dopants les plus couramment utilisés sont le bore pour le type p ; et le phosphore (P), l'arsenic (As) ou l'antimoine (Sb) pour le type n (voir tableau 5).

En règle générale, les tranches sont empilées dans un support ou une nacelle en quartz et placées dans le four de diffusion. Le four à diffusion contient un long tube de quartz et un mécanisme pour un contrôle précis de la température. Le contrôle de la température est extrêmement important, car les vitesses de diffusion des divers dopants au silicium sont principalement fonction de la température. Les températures d'utilisation vont de 900 à 1,300 XNUMX oC, en fonction du dopant et du processus spécifiques.

Le chauffage de la plaquette de silicium à une température élevée permet aux atomes d'impuretés de diffuser lentement à travers la structure cristalline. Les impuretés se déplacent plus lentement à travers le dioxyde de silicium qu'à travers le silicium lui-même, permettant à l'oxyde mince motif pour servir de masque et permettant ainsi au dopant de pénétrer dans le silicium uniquement là où il n'est pas protégé. Une fois que suffisamment d'impuretés se sont accumulées, les tranches sont retirées du four et la diffusion cesse effectivement.

Pour un contrôle maximal, la plupart des diffusions sont effectuées en deux étapes—prédéposition et conduire en. Le prédépôt, ou diffusion à source constante, est la première étape et se déroule dans un four dont la température est choisie pour obtenir le meilleur contrôle des quantités d'impuretés. La température détermine la solubilité du dopant. Après un traitement de prédépôt relativement court, la plaquette est physiquement déplacée vers un deuxième four, généralement à une température plus élevée, où un deuxième traitement thermique entraîne le dopant à la profondeur de diffusion souhaitée dans le réseau de la plaquette de silicium.

Les sources de dopants utilisées dans l'étape de prédépôt sont dans trois états chimiques distincts : gaz, liquide et solide. Le tableau 5 identifie les différents types de dopants de source de diffusion et leurs états physiques.

Les gaz sont généralement fournis à partir de bouteilles de gaz comprimé avec des commandes ou des régulateurs de pression, des vannes d'arrêt et divers accessoires de purge et sont distribués par des tubes métalliques de petit diamètre.

Les liquides sont distribués normalement à partir de barboteurs, qui saturent un flux de gaz porteur, généralement de l'azote, avec les vapeurs de dopant liquide, comme décrit dans la section sur l'oxydation par voie humide. Une autre forme de distribution de liquide consiste à utiliser le tourner sur dopant appareil. Cela implique de mettre un dopant solide en solution avec un support de solvant liquide, puis de faire couler la solution sur la plaquette et de tourner, d'une manière similaire à l'application de photoresists.

Les sources solides peuvent se présenter sous la forme d'une tranche de nitrure de bore, qui est prise en sandwich entre deux tranches de silicium à doper puis placée dans un four de diffusion. Aussi, les dopants solides, sous forme de poudre ou de billes, peuvent être placés dans un bombe à quartz enceinte (trioxyde d'arsenic), déversée manuellement dans l'extrémité source d'un tube de diffusion ou chargée dans un four source séparé en ligne avec le four de diffusion principal.

En l'absence de contrôles appropriés, les expositions à l'arsenic supérieures à 0.01 mg/m3 ont été signalés lors du nettoyage d'un four de dépôt (Wade et al. 1981) et lors du nettoyage des chambres de logement de la source pour les implanteurs d'ions à source solide (McCarthy 1985 ; Baldwin, King et Scarpace 1988). Ces expositions se sont produites alors qu'aucune précaution n'était prise pour limiter la quantité de poussière dans l'air. Cependant, lorsque les résidus étaient maintenus humides pendant le nettoyage, les expositions étaient réduites bien en deçà de la limite d'exposition dans l'air.

Dans les technologies de diffusion plus anciennes, des risques de sécurité existent lors du retrait, du nettoyage et de l'installation des tubes de four. Les risques comprennent les coupures potentielles causées par des articles en quartz brisés et les brûlures à l'acide lors du nettoyage manuel. Dans les nouvelles technologies, ces risques sont atténués par sur place nettoyage des tubes qui élimine une grande partie de la manipulation manuelle.

Les opérateurs de fours à diffusion sont les plus exposés en salle blanche à des champs électromagnétiques à très basse fréquence (par exemple, 50 à 60 hertz) dans la fabrication de semi-conducteurs. Des expositions moyennes supérieures à 0.5 microteslas (5 milligauss) ont été signalées pendant le fonctionnement réel des fours (Crawford et al. 1993). Cette étude a également noté que le personnel des salles blanches travaillant à proximité des fours à diffusion présentait des expositions moyennes mesurées sensiblement plus élevées que celles des autres travailleurs des salles blanches. Cette découverte était cohérente avec les mesures ponctuelles rapportées par Rosenthal et Abdollahzadeh (1991), qui ont constaté que les fours à diffusion produisaient des lectures de proximité (à 5 cm ou 2 pouces) aussi élevées que 10 à 15 microteslas, les champs environnants diminuant plus progressivement avec la distance. que les autres équipements de salle blanche étudiés ; même à 6 pieds des fours de diffusion, les densités de flux rapportées étaient de 1.2 à 2 microteslas (Crawford et al. 1993). Ces niveaux d'émission sont bien en deçà des limites d'exposition sanitaires actuelles fixées par l'Organisation mondiale de la santé et de celles fixées par les différents pays.

Implantation ionique

L'implantation ionique est la méthode la plus récente d'introduction d'éléments d'impuretés à température ambiante dans des tranches de silicium pour la formation de jonctions. Les atomes dopants ionisés (c'est-à-dire les atomes dépouillés d'un ou plusieurs de leurs électrons) sont accélérés à une énergie élevée en les faisant passer par une différence de potentiel de dizaines de milliers de volts. Au bout de leur parcours, ils viennent heurter la plaquette et s'incrustent à différentes profondeurs, en fonction de leur masse et de leur énergie. Comme dans la diffusion conventionnelle, une couche d'oxyde à motif ou un motif de photorésist masque sélectivement la plaquette des ions.

Un système d'implantation d'ions typique se compose d'une source d'ions (source de dopant gazeux, généralement dans de petites bouteilles de lecture), d'un équipement d'analyse, d'un accélérateur, d'une lentille de focalisation, d'un piège à faisceau neutre, d'une chambre de traitement du scanner et d'un système de vide (normalement trois ensembles distincts de pompes de prévidage et de diffusion d'huile). Le flux d'électrons est généré à partir d'un filament chaud par une résistance, une décharge en arc ou un faisceau d'électrons à cathode froide.

Généralement, après l'implantation des tranches, une étape de recuit à haute température (900 à 1,000 XNUMX °C) est effectuée par un recuit par faisceau laser ou un recuit pulsé avec une source de faisceaux d'électrons. Le processus de recuit aide à réparer les dommages causés à la surface extérieure de la plaquette implantée par le bombardement d'ions dopants.

Avec l'avènement d'un système de distribution sûr pour les bouteilles de gaz d'arsine, de phosphine et de trifluorure de bore utilisées dans les implanteurs ioniques, le potentiel de libération catastrophique de ces gaz a été considérablement réduit. Ces petites bouteilles de gaz sont remplies d'un composé sur lequel s'adsorbent l'arsine, la phosphine et le trifluorure de bore. Les gaz sont extraits des cylindres à l'aide d'un vide.

Les implanteurs ioniques sont l'un des risques électriques les plus importants dans l'industrie des semi-conducteurs. Même après la mise hors tension, un potentiel de choc important existe dans l'outil et doit être dissipé avant de travailler à l'intérieur de l'implanteur. Un examen attentif des opérations de maintenance et des risques électriques est justifié pour tous les équipements nouvellement installés, mais surtout pour les implanteurs ioniques.

Des expositions aux hydrures (probablement un mélange d'arsine et de phosphine) aussi élevées que 60 ppb ont été constatées lors de l'entretien de la cryopompe d'implantation d'ions (Baldwin, Rubin et Horowitz 1993). De plus, des concentrations élevées d'arsine et de phosphine peuvent dégager des gaz des pièces d'implant contaminées qui sont retirées lors de l'entretien préventif (Flipp, Hunsaker et Herring 1992).

Les aspirateurs portatifs équipés de filtres atténuateurs de particules à haute efficacité (HEPA) sont utilisés pour nettoyer les surfaces de travail contaminées par l'arsenic dans les zones d'implantation d'ions. Expositions supérieures à 1,000 XNUMX μg/m3 ont été mesurés lorsque les aspirateurs HEPA étaient mal nettoyés. Les aspirateurs HEPA, lorsqu'ils se déchargent dans l'espace de travail, peuvent également diffuser efficacement l'odeur caractéristique d'hydrure associée au nettoyage de la ligne de faisceau des implanteurs ioniques (Baldwin, Rubin et Horowitz 1993).

Bien qu'il s'agisse d'une préoccupation, il n'y a eu aucun rapport publié d'expositions importantes au gaz dopant lors des vidanges d'huile des pompes à vide utilisées avec des dopants, peut-être parce que cela se fait généralement en système fermé. L'absence d'exposition signalée peut également être le résultat de faibles niveaux de dégagement gazeux d'hydrures provenant de l'huile usée.

Le résultat d'une étude sur le terrain au cours de laquelle 700 ml d'huile de pompe de prévidage usagée provenant d'un implanteur ionique utilisant à la fois de l'arsine et de la phosphine ont été chauffés n'a montré que des concentrations détectables d'hydrures en suspension dans l'espace de tête de pompe lorsque l'huile de pompe dépassait 70oC (Baldwin, King et Scarpace 1988). Étant donné que les températures de fonctionnement normales pour les pompes de prévidage mécaniques sont de 60 à 80oC, cette étude n'a pas indiqué le potentiel d'une exposition significative.

Lors de l'implantation ionique, des rayons X se forment accessoirement à l'opération. La plupart des implanteurs sont conçus avec une armoire suffisamment blindée (qui comprend des feuilles de plomb stratégiquement placées autour du boîtier de la source d'ions et des portes d'accès adjacentes) pour maintenir l'exposition des employés en dessous de 2.5 microsieverts (0.25 millirems) par heure (Maletskos et Hanley 1983). Cependant, un modèle plus ancien d'implanteurs présentait une fuite de rayons X supérieure à 20 microsieverts par heure (μSv/h) à la surface de l'unité (Baldwin, King et Scarpace 1988). Ces niveaux ont été réduits à moins de 2.5 μSv/h après l'installation d'un blindage supplémentaire en plomb. Un autre modèle plus ancien d'implanteur ionique présentait une fuite de rayons X autour d'une porte d'accès (jusqu'à 15 μSv/h) et au niveau d'un hublot (jusqu'à 3 μSv/h). Un blindage supplémentaire en plomb a été ajouté pour atténuer les expositions possibles (Baldwin, Rubin et Horowitz 1993).

En plus des expositions aux rayons X des implanteurs ioniques, la possibilité de formation de neutrons a été postulée si l'implanteur fonctionne au-dessus de 8 millions d'électronvolts (MeV) ou si le gaz deutérium est utilisé comme source d'ions (Rogers 1994). Cependant, les implanteurs sont généralement conçus pour fonctionner bien en dessous de 8 MeV, et le deutérium n'est pas couramment utilisé dans l'industrie (Baldwin et Williams 1996).

Dépôt chimique en phase vapeur

Le dépôt chimique en phase vapeur (CVD) implique la superposition d'un matériau supplémentaire sur la surface de la tranche de silicium. Les unités CVD fonctionnent normalement comme un système fermé, entraînant peu ou pas d'exposition chimique pour les opérateurs. Cependant, une brève exposition au chlorure d'hydrogène supérieure à 5 ppm peut se produire lorsque certains prélaveurs CVD sont nettoyés (Baldwin et Stewart 1989). Deux grandes catégories de dépôt sont d'usage courant - épitaxial et la catégorie plus générale de CVD non épitaxial.

Dépôt chimique en phase vapeur par épitaxie

La croissance épitaxiale est un dépôt contrôlé de manière rigide d'un mince film monocristallin d'un matériau qui conserve la même structure cristalline que la couche de tranche de substrat existante. Il sert de matrice pour fabriquer des composants semi-conducteurs dans les processus de diffusion ultérieurs. La plupart des films épitaxiés sont développés sur des substrats du même matériau, tels que du silicium sur du silicium, dans un processus appelé homoépitaxie. La croissance de couches de différents matériaux sur un substrat, tel que du silicium sur du saphir, est appelée traitement de dispositif IC par hétéroépitaxie.

Trois techniques principales sont utilisées pour faire croître des couches épitaxiales : phase vapeur, phase liquide et faisceau moléculaire. L'épitaxie en phase liquide et par faisceau moléculaire est principalement utilisée dans le traitement des dispositifs III-V (par exemple GaAs). Celles-ci sont discutées dans l'article "Fabrication de semi-conducteurs III-V".

L'épitaxie en phase vapeur permet de faire croître un film par CVD de molécules à une température de 900 à 1,300oC. Des vapeurs contenant le silicium et des quantités contrôlées de dopants de type p ou n dans un gaz porteur (généralement de l'hydrogène) sont passées sur des tranches chauffées pour déposer des couches dopées de silicium. Le procédé est généralement réalisé à pression atmosphérique.

Le tableau 6 identifie les quatre grands types d'épitaxie en phase vapeur, les paramètres et les réactions chimiques en cours.

Tableau 6. Grandes catégories d'épitaxie en phase vapeur de silicium

Paramètres

Pression

Atmosphérique

Température

900-1300 ° C

Sources de silicium

Silanes (SiH4), tétrachlorure de silicium (SiCl4), trichlorosilane (SiHCl3),
et le dichlorosilane (SiH2Cl2)

Gaz dopants

Arsine (Cendre3), phosphine (PH3), diborane (B2H6)

Concentration de gaz dopant

≈100 ppm

Gaz d'attaque

Chlorure d'hydrogène (HCl)

Concentration de gaz d'attaque

≈1–4 %

Gaz vecteurs

Hydrogène (H2), azote (N2)

Source de chauffage

Radiofréquence (RF) ou infrarouge (IR)

Types d'épitaxie en phase vapeur

Réactions chimiques

Réduction à l'hydrogène du tétrachlorure de silicium
(1,150 1,300–XNUMX XNUMX °C)

SiCl4 + 2H2 → Si + 4HCl

Décomposition pyrolytique du silane
(1,000 1,100–XNUMX XNUMX °C)

OuiH4 → Si + 2H2

Réduction de l'hydrogène du trichlorosilane

SiHCl3 + H2 → Si + 3HCl

Réduction du dichlorosilane

OuiH2Cl2 → Si + 2HCl

 

La séquence de dépôt normalement suivie dans un processus épitaxial implique :

  • nettoyage du substrat—épuration physique, dégraissage au solvant, nettoyage à l'acide (sulfurique, nitrique et chlorhydrique, et le fluorhydrique est une séquence courante) et opération de séchage
  • chargement de plaquettes
  • chauffer—purge à l'azote et chauffage à environ 500 °C, puis de l'hydrogène gazeux est utilisé et des générateurs RF chauffent les tranches par induction
  • gravure au chlorure d'hydrogène (HCl)—généralement une concentration de 1 à 4% de HCl est distribuée dans la chambre du réacteur
  • déposition—la source de silicium et les gaz dopants sont dosés et déposés sur la surface de la tranche
  • refroidir-l'hydrogène gazeux est redevenu azote à 500°C
  • déchargement.

 

Dépôt chimique en phase vapeur non épitaxial

Alors que la croissance épitaxiale est une forme très spécifique de CVD où la couche déposée a la même orientation de structure cristalline que la couche de substrat, la CVD non épitaxiale est la formation d'un composé stable sur un substrat chauffé par la réaction thermique ou la décomposition de composés gazeux.

Le CVD peut être utilisé pour déposer de nombreux matériaux, mais dans le traitement des semi-conducteurs au silicium, les matériaux généralement rencontrés, en plus du silicium épitaxial, sont :

  • silicium polycristallin (poly Si)
  • dioxyde de silicium (SiO2— à la fois dopés et non dopés ; verre dopé p)
  • nitrure de silicium (Si3N4).

 

Chacun de ces matériaux peut être déposé de diverses manières, et chacun a de nombreuses applications.

Le tableau 7 identifie les trois principales catégories de CVD en utilisant la température de fonctionnement comme mécanisme de différenciation.

Tableau 7. Principales catégories de dépôt chimique en phase vapeur de silicium (CVD)

Paramètres

Pression

Atmosphérique (APCVD) ou basse pression (LPCVD)

Température

500-1,100 ° C

Sources de silicium et de nitrure

Silanes (SiH4), tétrachlorure de silicium (SiCl4), ammoniac (NH3), protoxyde d'azote (N20)

Sources de dopant

Arsine (Cendre3), phosphine (PH3), diborane (B2H6)

Gaz vecteurs

Azote (N2), hydrogène (H2)

Source de chauffage

Système de paroi froide—radiofréquence (RF) ou infrarouge (IR)
Système de paroi chaude—résistance thermique

Type CVD

Réaction

Gaz vecteur

Température

Température moyenne (≈ 600–1,100 XNUMX °C)

Nitrure de silicium (Si3N4)

3SiH4 + 4 NH3 → Si3N4 + 12H2

H2

900-1,100 ° C

Polysilicium (poly Si)

OuiH4 + Chaleur → Si + 2H2

H2
N2

850-1,000 ° C
600-700 ° C

Dioxyde de silicium (SiO2)

OuiH4 + 4CO2 → Si O2 + 4CO + 2H2O
2H2 + SiCl4 + CO2 → Si O2 + 4HCl *
OuiH4 + CO → SiO2 + 2H2 *

N2
H2
H2

500-900 ° C
800-1,000 ° C
600-900 ° C

Basse température (≈<600 C) Silox, Pyrox, Vapox et Nitrox**

Dioxyde de silicium (SiO2) ou SiO dopé p2

     

silox

OuiH4 +2O2 + Dopant → SiO2 + 2H2O

N2

200-500 ° C

Pyrox

OuiH4 +2O2 + Dopant → SiO2 + 2H2O

N2

<600 ° C

Vapex

OuiH4 +2O2 + Dopant → SiO2 + 2H2O

N2

<600 ° C

Nitrure de silicium (Si3N4)

     

Nitrox

3SiH4 + 4NH3 (ou N2O*) → Si3N4 + 12H2

N2

600-700 ° C

Plasma basse température renforcé (passivation) (<600°C)

Utilisant la radiofréquence (RF) ou
pulvérisation réactive

     

Dioxyde de silicium (SiO2)

OuiH4 +2O2 → Si O2 + 2H20

   

Nitrure de silicium (Si3N4)

3SiH4 + 4NH3 (ou N2O*) → Si3N4 + 12H2

   

* Remarque : Les réactions ne sont pas équilibrées stoechiométriquement.

**Noms génériques, propriétaires ou de marque pour les systèmes de réacteur CVD

 

Les composants suivants se trouvent dans presque tous les types d'équipement CVD :

  • chambre de réaction
  • section de contrôle des gaz
  • contrôle du temps et de la séquence
  • source de chaleur pour substrats
  • traitement des effluents.

 

Fondamentalement, le procédé CVD consiste à fournir des quantités contrôlées de gaz source de silicium ou de nitrure, en conjonction avec des gaz porteurs d'azote et/ou d'hydrogène, et un gaz dopant si nécessaire, pour une réaction chimique dans la chambre du réacteur. La chaleur est appliquée pour fournir l'énergie nécessaire à la réaction chimique en plus de contrôler les températures de surface du réacteur et des tranches. Une fois la réaction terminée, le gaz source n'ayant pas réagi plus le gaz porteur sont évacués par le système de traitement des effluents et évacués dans l'atmosphère.

La passivation est un type fonctionnel de CVD. Cela implique la croissance d'une couche d'oxyde protectrice sur la surface de la tranche de silicium, généralement en tant que dernière étape de fabrication avant le traitement de non-fabrication. La couche assure la stabilité électrique en isolant la surface du circuit intégré des conditions électriques et chimiques de l'environnement.

Métallisation

Une fois que les dispositifs ont été fabriqués dans le substrat de silicium, ils doivent être connectés ensemble pour exécuter des fonctions de circuit. Ce processus est connu sous le nom de métallisation. La métallisation fournit un moyen de câbler ou d'interconnecter les couches supérieures des circuits intégrés en déposant des motifs complexes de matériaux conducteurs, qui acheminent l'énergie électrique à l'intérieur des circuits.

Le vaste processus de métallisation se différencie en fonction de la taille et de l'épaisseur des couches de métaux et d'autres matériaux déposés. Ceux-ci sont:

  • couche mince—épaisseur de film approximative d'un micron ou moins
  • film épais—épaisseur de film approximative de 10 microns ou plus
  • placage— les épaisseurs de film sont variables de mince à épais, mais généralement des films épais.

 

Les métaux les plus couramment utilisés pour la métallisation des semi-conducteurs en silicium sont : l'aluminium, le nickel, le chrome ou un alliage appelé nichrome, l'or, le germanium, le cuivre, l'argent, le titane, le tungstène, le platine et le tantale.

Des couches minces ou épaisses peuvent également être évaporées ou déposées sur divers substrats en céramique ou en verre. Voici quelques exemples de ces substrats : alumine (96 % Al203), béryllia (99% BeO), verre borosilicaté, pyrocéramique et quartz (SiO2).

Couche mince

La métallisation en couches minces est souvent appliquée par l'utilisation d'une technique de dépôt ou d'évaporation sous vide poussé ou sous vide partiel. Les principaux types d'évaporation sous vide poussé sont le faisceau d'électrons, le flash et le résistif, tandis que le dépôt sous vide partiel est principalement effectué par pulvérisation.

Pour effectuer tout type de métallisation sous vide en couches minces, un système se compose généralement des composants de base suivants :

  • une chambre qui peut être mise sous vide pour fournir un vide suffisant pour le dépôt
  • une pompe à vide (ou des pompes) pour réduire les gaz ambiants dans la chambre
  • instrumentation pour surveiller le niveau de vide et d'autres paramètres
  • un procédé de dépôt ou d'évaporation des couches de matériau de métallisation.

 

Évaporation par faisceau d'électrons, fréquemment appelé Faisceau E, utilise un faisceau focalisé d'électrons pour chauffer le matériau de métallisation. Un faisceau d'électrons de haute intensité est généré d'une manière similaire à celle utilisée dans un tube image de télévision. Un flux d'électrons est accéléré à travers un champ électrique de typiquement 5 à 10 kV et focalisé sur le matériau à évaporer. Le faisceau focalisé d'électrons fait fondre le matériau contenu dans un bloc refroidi à l'eau avec une grande dépression appelée foyer. Le matériau fondu se vaporise alors dans la chambre à vide et se condense sur les tranches froides ainsi que sur toute la surface de la chambre. Ensuite, des opérations standard de photoréserve, d'exposition, de développement et de gravure humide ou sèche sont effectuées pour délimiter les circuits métallisés complexes.

Évaporation éclair est une autre technique de dépôt de couches minces métallisées. Cette méthode est principalement utilisée lorsqu'un mélange de deux matériaux (alliages) doit être évaporé simultanément. Quelques exemples de films à deux composants sont : nickel/chrome (Nichrome), chrome/monoxyde de silicium (SiO) et aluminium/silicium.

Dans l'évaporation flash, une barre en céramique est chauffée par résistance thermique et une bobine de fil alimentée en continu, un flux de pastilles ou une poudre distribuée par vibration est mise en contact avec le filament ou la barre chaud. Les métaux vaporisés recouvrent ensuite les surfaces intérieures de la chambre et de la plaquette.

Évaporation résistive (également connue sous le nom d'évaporation de filament) est la forme de dépôt la plus simple et la moins coûteuse. L'évaporation est accomplie en augmentant progressivement le courant traversant le filament pour d'abord faire fondre les boucles de matériau à évaporer, mouillant ainsi le filament. Une fois que le filament est mouillé, le courant à travers le filament est augmenté jusqu'à ce que l'évaporation se produise. Le principal avantage de l'évaporation résistive est la grande variété de matériaux qui peuvent être évaporés.

Des travaux de maintenance sont parfois effectués sur la surface intérieure des chambres de dépôt de l'évaporateur à faisceau d'électrons appelées cloches. Lorsque les techniciens de maintenance ont la tête à l'intérieur des cloches, des expositions importantes peuvent se produire. L'élimination des résidus métalliques qui se déposent sur la surface intérieure des cloches peut entraîner de telles expositions. Par exemple, les expositions des techniciens bien au-dessus de la limite d'exposition à l'argent dans l'air ont été mesurées lors de l'élimination des résidus d'un évaporateur utilisé pour déposer l'argent (Baldwin et Stewart 1989).

Le nettoyage des résidus de cloches avec des solvants de nettoyage organiques peut également entraîner une forte exposition aux solvants. Des techniciens ont été exposés à du méthanol supérieur à 250 ppm lors de ce type de nettoyage. Cette exposition peut être éliminée en utilisant de l'eau comme solvant de nettoyage au lieu du méthanol (Baldwin et Stewart 1989).

La dépôt par pulvérisation cathodique Le processus se déroule dans une atmosphère gazeuse à basse pression ou à vide partiel, en utilisant soit un courant électrique continu (CC ou pulvérisation cathodique) soit des tensions RF comme source de haute énergie. Lors de la pulvérisation cathodique, des ions de gaz inerte argon sont introduits dans une chambre à vide après qu'un niveau de vide satisfaisant a été atteint grâce à l'utilisation d'une pompe primaire. Un champ électrique est formé en appliquant une haute tension, généralement de 5,000 XNUMX V, entre deux plaques chargées de manière opposée. Cette décharge à haute énergie ionise les atomes de gaz d'argon et les fait se déplacer et accélérer vers l'une des plaques de la chambre appelée la cible. Lorsque les ions argon frappent la cible constituée du matériau à déposer, ils délogent, ou pulvérisent, ces atomes ou molécules. Les atomes délogés du matériau de métallisation sont alors déposés en couche mince sur les substrats de silicium qui font face à la cible.

Il a été constaté que les fuites RF des côtés et de l'arrière de nombreuses unités de pulvérisation plus anciennes dépassaient la limite d'exposition professionnelle (Baldwin et Stewart 1989). La plupart des fuites étaient attribuables à des fissures dans les armoires causées par le retrait répété des panneaux de maintenance. Dans les modèles plus récents du même fabricant, des panneaux avec treillis métallique le long des coutures empêchent les fuites importantes. Les anciens pulvérisateurs peuvent être équipés d'un treillis métallique ou, alternativement, d'un ruban de cuivre peut être utilisé pour couvrir les coutures afin de réduire les fuites.

Film épais

La structure et la dimension de la plupart des couches épaisses ne sont pas compatibles avec la métallisation des circuits intégrés en silicium, principalement en raison de contraintes d'encombrement. Les films épais sont principalement utilisés pour la métallisation de structures électroniques hybrides, comme dans la fabrication d'écrans LCD.

Le processus de sérigraphie est la méthode dominante d'application de film épais. Les matériaux de film épais généralement utilisés sont le palladium, l'argent, le dioxyde de titane et le verre, l'or-platine et le verre, l'or-verre et l'argent-verre.

Les couches épaisses résistives sont normalement déposées et modelées sur un substrat céramique en utilisant des techniques de sérigraphie. Cermets est une forme de film épais résistif composé d'une suspension de particules métalliques conductrices dans une matrice céramique avec une résine organique comme charge. Les structures de cermet typiques sont composées de chrome, d'argent ou d'oxyde de plomb dans une matrice de monoxyde ou de dioxyde de silicium.

Placage

Deux types de base de techniques de placage sont utilisés pour former des films métalliques sur des substrats semi-conducteurs : la galvanoplastie et le placage autocatalytique.

In galvanoplastie, le substrat à plaquer est placé à la cathode, ou borne chargée négativement, de la cuve de placage et plongé dans une solution électrolytique. Une électrode faite du métal à plaquer sert d'anode ou de borne chargée positivement. Lorsqu'un courant continu traverse la solution, les ions métalliques chargés positivement, qui se dissolvent dans la solution à partir de l'anode, migrent et se plaquent sur la cathode (substrat). Cette méthode de placage est utilisée pour former des films conducteurs d'or ou de cuivre.

In placage autocatalytique, la réduction et l'oxydation simultanées du métal à plaquer sont utilisées pour former un atome ou une molécule de métal libre. Étant donné que cette méthode ne nécessite pas de conduction électrique pendant le processus de placage, elle peut être utilisée avec des substrats de type isolant. Le nickel, le cuivre et l'or sont les métaux les plus couramment déposés de cette manière.

Alliage/Recuit

Après le dépôt et la gravure des interconnexions métallisées, une dernière étape d'alliage et de recuit peut être réalisée. L'alliage consiste à placer les substrats métallisés, généralement avec de l'aluminium, dans un four de diffusion à basse température pour assurer un contact à faible résistance entre le métal d'aluminium et le substrat de silicium. Enfin, soit pendant l'étape d'alliage, soit directement après celle-ci, les tranches sont souvent exposées à un mélange gazeux contenant de l'hydrogène dans un four à diffusion à 400 à 500°C. L'étape de recuit est conçue pour optimiser et stabiliser les caractéristiques du dispositif en combinant l'hydrogène avec des atomes non engagés au niveau ou à proximité de l'interface silicium-dioxyde de silicium.

Rodage et métallisation arrière

Il existe également une étape de traitement de métallisation facultative appelée rodage. L'arrière de la plaquette peut être rodé ou meulé à l'aide d'une solution abrasive humide et d'une pression. Un métal tel que l'or peut être déposé sur la face arrière de la plaquette par pulvérisation. Cela facilite la fixation de la matrice séparée à l'emballage lors de l'assemblage final.

Assemblage et test

Le traitement de non-fabrication, qui comprend l'emballage externe, les accessoires, l'encapsulation, l'assemblage et les tests, est normalement effectué dans des installations de production séparées et souvent dans les pays d'Asie du Sud-Est, où ces travaux à forte intensité de main-d'œuvre sont moins coûteux à réaliser. De plus, les exigences de ventilation pour le processus et le contrôle des particules sont généralement différentes (hors salle blanche) dans les zones de traitement hors fabrication. Ces étapes finales du processus de fabrication impliquent des opérations qui comprennent le soudage, le dégraissage, les tests avec des produits chimiques et des sources de rayonnement, ainsi que la coupe et le marquage au laser.

Le soudage pendant la fabrication des semi-conducteurs n'entraîne normalement pas d'expositions élevées au plomb. Pour éviter des dommages thermiques au circuit intégré, la température de la soudure est maintenue en dessous de la température à laquelle une formation importante de vapeurs de plomb fondu peut se produire (430°C). Cependant, le nettoyage de l'équipement de soudure en grattant ou en brossant les résidus contenant du plomb peut entraîner des expositions au plomb supérieures à 50 μg/m3 (Baldwin et Stewart 1989). Aussi, des expositions au plomb de 200 μg/m3 se sont produits lorsque des techniques inappropriées d'élimination des scories sont utilisées pendant les opérations de soudure à la vague (Baldwin et Williams 1996).

Une préoccupation croissante avec les opérations de soudure est l'irritation respiratoire et l'asthme dus à l'exposition aux produits de pyrolyse des flux de soudure, en particulier pendant les opérations de soudure manuelle ou de retouche, où historiquement la ventilation par aspiration locale n'a pas été couramment utilisée (contrairement aux opérations de soudure à la vague, qui au cours des dernières décennies ont généralement été enfermés dans des armoires épuisées) (Goh et Ng 1987). Voir l'article « Circuit imprimé et ensemble informatique » pour plus de détails.

Étant donné que la colophane dans le flux de soudure est un sensibilisant, toutes les expositions doivent être réduites aussi bas que possible, quels que soient les résultats de l'échantillonnage de l'air. Les nouvelles installations de soudage doivent en particulier inclure une ventilation par aspiration locale lorsque le soudage doit être effectué pendant de longues périodes (par exemple, plus de 2 heures).

Les vapeurs de soudure à la main monteront verticalement sur les courants thermiques, entrant dans la zone respiratoire de l'employé lorsque la personne se penchera sur le point de soudure. Le contrôle est généralement réalisé au moyen d'une ventilation par aspiration locale efficace à grande vitesse et à faible volume au niveau de la pointe de soudure.

Les appareils qui renvoient de l'air filtré vers le poste de travail peuvent, si l'efficacité de la filtration est insuffisante, provoquer une pollution secondaire qui peut affecter les personnes présentes dans l'atelier autres que celles qui soudent. L'air filtré ne doit pas être renvoyé dans la salle de travail à moins que la quantité de soudure soit faible et que la pièce dispose d'une bonne ventilation générale de dilution.

Tri et test de plaquettes

Une fois la fabrication de la plaquette terminée, chaque plaquette finie intrinsèquement subit un processus de tri de plaquette où les circuits intégrés sur chaque puce spécifique sont testés électriquement avec des sondes contrôlées par ordinateur. Une plaquette individuelle peut contenir de cent à plusieurs centaines de puces ou puces séparées qui doivent être testées. Une fois les résultats des tests terminés, les matrices sont physiquement marquées avec une résine époxy monocomposant distribuée automatiquement. Le rouge et le bleu sont utilisés pour identifier et trier les matrices qui ne répondent pas aux spécifications électriques souhaitées.

Séparation des matrices

Avec les dispositifs ou les circuits sur la plaquette testés, marqués et triés, les matrices individuelles sur la plaquette doivent être physiquement séparées. Un certain nombre de méthodes ont été conçues pour séparer les matrices individuelles - traçage au diamant, traçage au laser et sciage à la meule diamantée.

Le traçage au diamant est la méthode la plus ancienne utilisée et consiste à dessiner une pointe incrustée de diamant de forme précise sur la plaquette le long de la ligne de traçage ou de la "rue" séparant les matrices individuelles sur la surface de la plaquette. L'imperfection de la structure cristalline provoquée par le rayage permet à la plaquette d'être courbée et fracturée le long de cette ligne.

Le traçage au laser est une technique de séparation de die relativement récente. Un faisceau laser est généré par un laser pulsé au néodyme-yttrium de grande puissance. Le faisceau génère une rainure dans la plaquette de silicium le long des lignes de découpe. La rainure sert de ligne le long de laquelle la plaquette se brise.

Une méthode largement utilisée de séparation des matrices est le sciage à l'eau - la coupe de substrats le long de la rue avec une scie circulaire à diamant à grande vitesse. Le sciage peut soit couper partiellement (tracer) soit complètement couper (dés) à travers le substrat de silicium. Une bouillie humide de matériaux retirés de la rue est générée par le sciage.

Fixation et collage des matrices

La puce ou la puce individuelle doit être fixée à un boîtier de support et à une grille de connexion métallique. Les supports sont généralement constitués d'un matériau isolant, soit en céramique, soit en plastique. Les matériaux de support en céramique sont généralement en alumine (Al2O3), mais peut éventuellement être constitué de béryllium (BeO) ou de stéatite (MgO-SiO2). Les matériaux de support en plastique sont soit du type résine thermoplastique soit thermodurcissable.

La fixation de la matrice individuelle est généralement réalisée par l'un des trois types de fixation distincts : eutectique, préforme et époxy. La fixation de matrice eutectique implique l'utilisation d'un alliage de brasage eutectique, tel que l'or-silicium. Dans cette méthode, une couche de métal doré est prédéposée sur la face arrière de la matrice. En chauffant le boîtier au-dessus de la température eutectique (370°C pour l'or-silicium) et en plaçant la puce dessus, une liaison se forme entre la puce et le boîtier.

Le collage de la préforme implique l'utilisation d'un petit morceau de matériau de composition spéciale qui adhère à la fois à la matrice et à l'emballage. Une préforme est placée sur la zone de fixation de matrice d'un emballage et laissée fondre. La matrice est ensuite frottée à travers la région jusqu'à ce que la matrice soit fixée, puis l'emballage est refroidi.

Le collage époxy implique l'utilisation d'une colle époxy pour fixer la matrice au boîtier. Une goutte d'époxy est distribuée sur l'emballage et la matrice placée dessus. L'emballage peut devoir être cuit à une température élevée pour durcir correctement l'époxy.

Une fois que la puce est physiquement fixée au boîtier, des connexions électriques doivent être fournies entre le circuit intégré et les conducteurs du boîtier. Ceci est accompli en utilisant des techniques de liaison par thermocompression, ultrasonique ou thermosonique pour fixer des fils d'or ou d'aluminium entre les zones de contact sur la puce de silicium et les fils du boîtier.

Le collage par thermocompression est souvent utilisé avec du fil d'or et implique de chauffer l'emballage à environ 300oC et former la liaison entre le fil et les plots de connexion en utilisant à la fois la chaleur et la pression. Deux principaux types de collage par thermocompression sont utilisés—collage à billes et collage par coin. La liaison par billes, qui n'est utilisée qu'avec du fil d'or, fait passer le fil à travers un tube capillaire, le comprime, puis une flamme d'hydrogène fait fondre le fil. De plus, cela forme une nouvelle boule à l'extrémité du fil pour le prochain cycle de collage. La liaison en coin implique un outil de liaison en forme de coin et un microscope utilisé pour positionner la puce de silicium et le boîtier avec précision sur le plot de liaison. Le procédé est réalisé sous atmosphère inerte.

La liaison par ultrasons utilise une impulsion d'énergie ultrasonique à haute fréquence pour fournir une action de frottement qui forme une liaison entre le fil et le tampon de liaison. La liaison par ultrasons est principalement utilisée avec du fil d'aluminium et est souvent préférée à la liaison par thermocompression, car elle ne nécessite pas le chauffage de la puce de circuit pendant l'opération de liaison.

La liaison thermosonique est un changement technologique récent dans le domaine de la liaison par fil d'or. Il implique l'utilisation d'une combinaison d'énergies ultrasonores et thermiques et nécessite moins de chaleur que le collage par thermocompression.

Encapsulation

L'objectif principal de l'encapsulation est de mettre un circuit intégré dans un boîtier qui répond aux exigences électriques, thermiques, chimiques et physiques associées à l'application du circuit intégré.

Les types de boîtiers les plus largement utilisés sont le type à sortie radiale, le boîtier plat et le boîtier double en ligne (DIP). Les boîtiers de type à sorties radiales sont principalement constitués de Kovar, un alliage de fer, de nickel et de cobalt, avec des joints en verre dur et des sorties en Kovar. Les packs plats utilisent des cadres en plomb métallique, généralement constitués d'un alliage d'aluminium combiné à des composants en céramique, en verre et en métal. Les boîtiers doubles en ligne sont généralement les plus courants et utilisent souvent de la céramique ou des plastiques moulés.

Les boîtiers semi-conducteurs en plastique moulé sont principalement produits par deux processus distincts :moulage par transfert et moulage par injection. Le moulage par transfert est la méthode d'encapsulation plastique prédominante. Dans cette méthode, les puces sont montées sur des cadres de plomb non rognés, puis chargées par lots dans des moules. Les formes en poudre ou en granulés de composés de moulage plastique thermodurcissables sont fondues dans un pot chauffé puis forcées (transférées) sous pression dans les moules chargés. Les systèmes de composés de moulage plastique sous forme de poudre ou de granulés peuvent être utilisés sur des résines époxy, silicone ou silicone/époxy. Le système consiste généralement en un mélange de :

  • résines thermodurcissables—époxy, silicone ou silicone/époxy
  • durcisseurs— novolaques époxy et anhydrides époxy
  • des charges—dioxyde de silicium cristallisé ou cristallisé (SiO2) et l'alumine (Al2O3), généralement 50 à 70 % en poids
  • retardateur de flamme—trioxyde d'antimoine (Sb2O3) généralement 1 à 5 % en poids.

 

Le moulage par injection utilise un composé de moulage thermoplastique ou thermodurcissable qui est chauffé à son point de fusion dans un cylindre à une température contrôlée et forcé sous pression à travers une buse dans le moule. La résine se solidifie rapidement, le moule est ouvert et l'emballage d'encapsulation éjecté. Une grande variété de composés plastiques sont utilisés dans le moulage par injection, les résines époxy et sulfure de polyphénylène (PPS) étant les dernières entrées dans l'encapsulation des semi-conducteurs.

Le conditionnement final du dispositif semi-conducteur au silicium est classé selon sa résistance aux fuites ou sa capacité à isoler le circuit intégré de son environnement. Ceux-ci sont différenciés comme étant hermétiquement (étanches à l'air) ou non hermétiquement scellés.

Test de fuite et déverminage

Test de fuite est une procédure développée pour tester la capacité d'étanchéité réelle ou l'hermétisme du dispositif emballé. Deux formes courantes de test de fuite sont utilisées : la détection de fuites à l'hélium et la détection de fuites par un traceur radioactif.

Dans la détection de fuites à l'hélium, les emballages terminés sont placés dans une atmosphère sous pression d'hélium pendant un certain temps. L'hélium est capable de pénétrer à travers les imperfections dans l'emballage. Après avoir été retiré de la chambre de pressurisation d'hélium, l'emballage est transféré dans une chambre de spectromètre de masse et testé pour détecter les fuites d'hélium par les imperfections de l'emballage.

Un gaz traceur radioactif, généralement du krypton-85 (Kr-85), remplace l'hélium dans la deuxième méthode, et le gaz radioactif s'échappant de l'emballage est mesuré. Dans des conditions normales, l'exposition du personnel à ce processus est inférieure à 5 millisieverts (500 millirems) par an (Baldwin et Stewart 1989). Les contrôles de ces systèmes comprennent généralement :

  • isolement dans des pièces dont l'accès est limité uniquement au personnel nécessaire
  • apposé des panneaux de mise en garde contre les rayonnements sur les portes des pièces contenant du Kr-85
  • moniteurs de rayonnement continu avec alarmes et arrêt/isolation automatique
  • système d'échappement dédié et chambre à pression négative
  • surveiller les expositions avec une dosimétrie personnelle (p. ex., badges de film radiologique)
  • entretien régulier des alarmes et des verrouillages
  • contrôles réguliers des fuites de matières radioactives
  • formation à la sécurité pour les opérateurs et les techniciens
  • s'assurer que les expositions aux rayonnements sont maintenues au niveau le plus bas qu'il est raisonnablement possible d'atteindre (ALARA).

 

En outre, les matériaux qui entrent en contact avec le Kr-85 (par exemple, les circuits intégrés exposés, l'huile de pompe usagée, les vannes et les joints toriques) sont examinés pour s'assurer qu'ils n'émettent pas de niveaux excessifs de rayonnement en raison du gaz résiduel qu'ils contiennent avant d'être retirés de la zone contrôlée. Leach-Marshal (1991) fournit des informations détaillées sur les expositions et les contrôles des systèmes de détection de fuites fines Kr-85.

Brûler dedans est une opération de contrainte thermique et électrique pour déterminer la fiabilité du dispositif final conditionné. Les dispositifs sont placés dans un four à température contrôlée pendant une période de temps prolongée en utilisant soit l'atmosphère ambiante, soit une atmosphère inerte d'azote. Les températures varient de 125°C à 200°C (150°C est une moyenne) et les périodes de temps de quelques heures à 1,000 48 heures (XNUMX heures est une moyenne).

Examen final

Pour une caractérisation finale des performances du dispositif semi-conducteur au silicium en boîtier, un test électrique final est effectué. En raison du grand nombre et de la complexité des tests requis, un ordinateur effectue et évalue le test de nombreux paramètres importants pour le fonctionnement éventuel du dispositif.

Marquer et emballer

L'identification physique du dispositif emballé final est réalisée par l'utilisation d'une variété de systèmes de marquage. Les deux principales catégories de marquage de composants sont l'impression par contact et sans contact. L'impression par contact intègre généralement une technique offset rotative utilisant des encres à base de solvant. L'impression sans contact, qui transfère les marquages ​​sans contact physique, implique une tête à jet d'encre ou une impression par toner utilisant des encres à base de solvant ou un marquage au laser.

Les solvants utilisés comme support pour les encres d'impression et comme pré-nettoyant sont généralement composés d'un mélange d'alcools (éthanol) et d'esters (acétate d'éthyle). La plupart des systèmes de marquage de composants, autres que le marquage laser, utilisent des encres qui nécessitent une étape supplémentaire de prise ou de durcissement. Ces méthodes de durcissement sont le durcissement à l'air, le durcissement à la chaleur (thermique ou infrarouge) et le durcissement aux ultraviolets. Les encres à séchage ultraviolet ne contiennent aucun solvant.

Les systèmes de marquage laser utilisent soit un dioxyde de carbone à haute puissance (CO2) laser ou un laser néodyme:yttrium de haute puissance. Ces lasers sont généralement intégrés dans l'équipement et ont des armoires verrouillées qui entourent le trajet du faisceau et le point où le faisceau entre en contact avec la cible. Cela élimine le risque de faisceau laser pendant les opérations normales, mais il y a un problème lorsque les verrouillages de sécurité sont désactivés. L'opération la plus courante où il est nécessaire de retirer les enceintes de faisceau et de désactiver les verrouillages est l'alignement du faisceau laser.

Au cours de ces opérations de maintenance, idéalement, la salle contenant le laser doit être évacuée, à l'exception des techniciens de maintenance nécessaires, avec les portes de la salle verrouillées et affichées avec des panneaux de sécurité laser appropriés. Cependant, les lasers haute puissance utilisés dans la fabrication de semi-conducteurs sont souvent situés dans de grandes zones de fabrication ouvertes, ce qui rend impossible le déplacement du personnel non chargé de la maintenance pendant la maintenance. Pour ces situations, une zone de contrôle temporaire est généralement établie. Normalement, ces zones de contrôle sont constituées de rideaux laser ou d'écrans de soudage capables de résister au contact direct avec le faisceau laser. L'entrée dans la zone de contrôle temporaire se fait généralement par une entrée de labyrinthe qui est affichée avec un panneau d'avertissement chaque fois que les verrouillages du laser sont désactivés. D'autres précautions de sécurité lors de l'alignement du faisceau sont similaires à celles requises pour le fonctionnement d'un laser haute puissance à faisceau ouvert (par exemple, formation, protection des yeux, procédures écrites, etc.).

Les lasers à haute puissance sont également l'un des risques électriques les plus importants dans l'industrie des semi-conducteurs. Même après la mise hors tension, un potentiel de choc important existe dans l'outil et doit être dissipé avant de travailler à l'intérieur de l'armoire.

Outre le risque de faisceau et le risque électrique, des précautions doivent également être prises lors de la maintenance des systèmes de marquage au laser en raison du potentiel de contamination chimique par le trioxyde d'antimoine et le béryllium ignifuges (les emballages en céramique contenant ce composé seront étiquetés). Des fumées peuvent être créées lors du marquage avec les lasers à haute puissance et créer des résidus sur les surfaces de l'équipement et les filtres d'extraction des fumées.

Les dégraissants ont été utilisés dans le passé pour nettoyer les semi-conducteurs avant qu'ils ne soient marqués avec des codes d'identification. L'exposition aux solvants au-dessus de la limite d'exposition professionnelle en suspension dans l'air applicable peut facilement se produire si la tête d'un opérateur est placée sous les serpentins de refroidissement qui provoquent la recondensation des vapeurs, comme cela peut se produire lorsqu'un opérateur tente de récupérer des pièces tombées ou lorsqu'un technicien nettoie les résidus du fond de l'unité (Baldwin et Stewart 1989). L'utilisation de dégraissants a été considérablement réduite dans l'industrie des semi-conducteurs en raison des restrictions sur l'utilisation de substances appauvrissant la couche d'ozone telles que les chlorofluorocarbures et les solvants chlorés.

Analyse des pannes et assurance qualité

Les laboratoires d'analyse de défaillance et d'analyse de la qualité effectuent généralement diverses opérations permettant d'assurer la fiabilité des appareils. Certaines des opérations réalisées dans ces laboratoires présentent un potentiel d'exposition des salariés. Ceux-ci inclus:

  • essais de marquage en utilisant divers mélanges de solvants et corrosifs dans des béchers chauffés sur des plaques chauffantes. Une ventilation par aspiration locale (LEV) sous la forme d'une hotte métallique avec des vitesses frontales adéquates est nécessaire pour contrôler les émissions fugitives. Les solutions de monoéthanolamine peuvent entraîner des expositions dépassant sa limite d'exposition dans l'air (Baldwin et Williams, 1996).
  • test de bulles/fuites utilisant des fluorocarbures de poids moléculaire élevé (nom commercial Fluorinerts)
  • unités d'emballage pour rayons X.

 

Le cobalt-60 (jusqu'à 26,000 5 curies) est utilisé dans les irradiateurs pour tester la capacité des circuits intégrés à résister à l'exposition aux rayonnements gamma dans les applications militaires et spatiales. Dans des conditions normales, les expositions du personnel liées à cette opération sont inférieures à 500 millisieverts (1989 millirems) par an (Baldwin et Stewart 85). Les contrôles pour cette opération quelque peu spécialisée sont similaires à ceux utilisés pour les systèmes à fuite fine Kr-XNUMX (par exemple, chambre isolée, moniteurs de rayonnement continu, surveillance de l'exposition du personnel, etc.).

De petites sources alpha « à licence spécifique » (par exemple, des micro- et millicuries d'américium-241) sont utilisées dans le processus d'analyse des défaillances. Ces sources sont recouvertes d'un mince revêtement protecteur appelé fenêtre qui permet aux particules alpha d'être émises par la source pour tester la capacité du circuit intégré à fonctionner lorsqu'il est bombardé par des particules alpha. En règle générale, les sources sont vérifiées périodiquement (par exemple, semestriellement) pour détecter les fuites de matières radioactives qui peuvent se produire si la fenêtre de protection est endommagée. Toute fuite détectable déclenche généralement le retrait de la source et son renvoi au fabricant.

Les systèmes à rayons X de l'armoire sont utilisés pour vérifier l'épaisseur des revêtements métalliques et pour identifier les défauts (par exemple, des bulles d'air dans les emballages de composés de moulage). Bien qu'il ne s'agisse pas d'une source importante de fuites, ces unités sont généralement vérifiées périodiquement (par exemple, une fois par an) à l'aide d'un appareil de mesure portatif pour détecter les fuites de rayons X et inspectées pour s'assurer que les verrouillages de porte fonctionnent correctement.

Livraison

L'expédition est le point final de l'implication de la plupart des fabricants de dispositifs à semi-conducteurs au silicium. Les fabricants marchands de semi-conducteurs vendent leur produit à d'autres producteurs de produits finis, tandis que les fabricants captifs utilisent les dispositifs pour leurs propres produits finis.

Étude de santé

Chaque étape du processus utilise un ensemble particulier de produits chimiques et d'outils qui entraînent des préoccupations EHS spécifiques. Outre les préoccupations associées aux étapes spécifiques du processus de traitement des dispositifs semi-conducteurs au silicium, une étude épidémiologique a examiné les effets sur la santé des employés de l'industrie des semi-conducteurs (Schenker et al. 1992). Voir également la discussion dans l'article « Effets sur la santé et schémas des maladies ».

La principale conclusion de l'étude était que le travail dans les installations de fabrication de semi-conducteurs est associé à un taux accru d'avortement spontané (SAB). Dans la composante historique de l'étude, le nombre de grossesses étudiées chez les employés de la fabrication et de la non-fabrication était à peu près égal (447 et 444 respectivement), mais il y avait plus d'avortements spontanés dans la fabrication (n = 67) que dans la non-fabrication (n = 46) . Après ajustement pour divers facteurs pouvant causer des biais (âge, origine ethnique, tabagisme, stress, statut socio-économique et antécédents de grossesse), le risque relatif (RR) pour la fabrication par rapport à la non-fabrication était de 1.43 (intervalle de confiance à 95 % = 0.95-2.09) .

Les chercheurs ont établi un lien entre l'augmentation du taux de SAB et l'exposition à certains éthers de glycol à base d'éthylène (EGE) utilisés dans la fabrication de semi-conducteurs. Les éthers de glycol spécifiques impliqués dans l'étude et suspectés d'avoir des effets indésirables sur la reproduction sont :

  • 2-méthoxyéthanol (CAS 109-86-4)
  • Acétate de 2-méthoxyéthyle (CAS 110-49-6)
  • Acétate de 2-éthoxyéthyle (CAS 111-15-9).

 

Bien qu'ils ne fassent pas partie de l'étude, deux autres éthers de glycol utilisés dans l'industrie, le 2-éthoxyéthanol (CAS 110-80-5) et l'éther diméthylique de diéthylène glycol (CAS 111-96-6) ont des effets toxiques similaires et ont été interdits par certains fabricants de semi-conducteurs.

En plus d'un taux accru de SAB associé à l'exposition à certains éthers de glycol, l'étude a également conclu :

  • Une association incohérente existait pour l'exposition au fluorure (en gravure) et SAB.
  • Le stress autodéclaré était un important facteur de risque indépendant de SAB chez les femmes travaillant dans les zones de fabrication.
  • Il a fallu plus de temps aux femmes travaillant dans la zone de fabrication pour tomber enceintes par rapport aux femmes dans les zones de non-fabrication.
  • Une augmentation des symptômes respiratoires (irritation des yeux, du nez et de la gorge et respiration sifflante) était présente chez les travailleurs de la fabrication par rapport aux autres travailleurs.
  • Des symptômes musculo-squelettiques du membre supérieur distal, tels que des douleurs à la main, au poignet, au coude et à l'avant-bras, étaient associés au travail en salle de fabrication.
  • La dermatite et la perte de cheveux (alopécie) ont été signalées plus fréquemment chez les travailleurs de la fabrication que chez les autres travailleurs.

 

Examen de l'équipement

La complexité des équipements de fabrication de semi-conducteurs, associée aux progrès continus des processus de fabrication, rend l'examen préalable à l'installation des nouveaux équipements de processus important pour minimiser les risques EHS. Deux processus d'examen des équipements permettent de garantir que les nouveaux équipements de traitement des semi-conducteurs seront soumis aux contrôles EHS appropriés : le marquage CE et les normes Semiconductor Equipment and Materials International (SEMI).

Le marquage CE est une déclaration du fabricant selon laquelle l'équipement ainsi marqué est conforme aux exigences de toutes les directives applicables de l'Union européenne (UE). Pour les équipements de fabrication de semi-conducteurs, la directive sur les machines (MD), la directive sur la compatibilité électromagnétique (EMC) et la directive sur les basses tensions (LVD) sont considérées comme les directives les plus applicables.

Dans le cas de la directive CEM, les services d'un organisme compétent (organisme officiellement autorisé par un État membre de l'UE) doivent être retenus pour définir les exigences de test et approuver les résultats de l'examen. Le DM et le LVD peuvent être évalués soit par le fabricant, soit par un organisme notifié (organisme officiellement agréé par un État membre de l'UE). Quelle que soit la voie choisie (auto-évaluation ou tierce partie), c'est l'importateur officiel qui est responsable du marquage CE du produit importé. Ils peuvent utiliser les informations de tiers ou d'auto-évaluation comme base de leur conviction que l'équipement répond aux exigences des directives applicables, mais, en fin de compte, ils prépareront eux-mêmes la déclaration de conformité et apposeront le marquage CE.

Semiconductor Equipment and Materials International est une association commerciale internationale qui représente les fournisseurs d'équipements et de matériaux pour semi-conducteurs et écrans plats. Parmi ses activités figure le développement de normes techniques volontaires qui sont des accords entre fournisseurs et clients visant à améliorer la qualité et la fiabilité des produits à un prix raisonnable et un approvisionnement régulier.

Deux normes SEMI qui s'appliquent spécifiquement aux préoccupations EHS pour les nouveaux équipements sont SEMI S2 et SEMI S8. SEMI S2-93, Consignes de sécurité pour les équipements de fabrication de semi-conducteurs, est conçu comme un ensemble minimal de considérations EHS basées sur les performances pour les équipements utilisés dans la fabrication de semi-conducteurs. SEMI S8-95, Guide de l'utilisateur des critères de réussite ergonomiques des fournisseurs, développe la section ergonomie dans SEMI S2.

De nombreux fabricants de semi-conducteurs exigent que les nouveaux équipements soient certifiés par un tiers comme répondant aux exigences de SEMI S2. Les lignes directrices pour l'interprétation de SEMI S2-93 et ​​SEMI S8-95 sont contenues dans une publication du consortium industriel SEMATECH (SEMATECH 1996). Des informations supplémentaires sur SEMI sont disponibles sur le Web mondial (http://www.semi.org).

Manutention des produits chimiques

Distribution de liquide

Les systèmes automatisés de distribution de produits chimiques devenant la règle, et non l'exception, le nombre de brûlures chimiques chez les employés a diminué. Cependant, des protections appropriées doivent être installées dans ces systèmes automatisés de distribution de produits chimiques. Ceux-ci inclus:

  • détection des fuites et arrêt automatique à la source d'alimentation en vrac et aux boîtes de jonction
  • double confinement des canalisations si le produit chimique est considéré comme une matière dangereuse
  • capteurs de haut niveau aux points finaux (bain ou récipient à outils)
  • arrêt temporisé de la pompe (permet de pomper uniquement une quantité spécifique vers un emplacement avant qu'elle ne s'arrête automatiquement).

Distribution de gaz

La sécurité de la distribution de gaz s'est considérablement améliorée au fil des ans avec l'avènement de nouveaux types de vannes de bouteilles, d'orifices à débit restreint incorporés dans la bouteille, de panneaux de purge de gaz automatisés, de détection et d'arrêt à haut débit et d'équipements de détection de fuites plus sophistiqués. En raison de sa propriété pyrophorique et de sa large utilisation comme matière première, le gaz silane représente le risque d'explosion le plus important dans l'industrie. Cependant, les incidents liés au gaz silane sont devenus plus prévisibles grâce aux nouvelles recherches menées par Factory Mutual et SEMATECH. Avec des orifices à débit réduit (RFO), des pressions de livraison et des taux de ventilation appropriés, la plupart des incidents explosifs ont été éliminés (SEMATECH 1995).

Plusieurs incidents de sécurité se sont produits ces dernières années en raison d'un mélange incontrôlé de gaz incompatibles. En raison de ces incidents, les fabricants de semi-conducteurs examinent souvent les installations de conduites de gaz et les boîtes à gaz pour s'assurer qu'un mélange incorrect et/ou un reflux de gaz ne peuvent pas se produire.

Les problèmes chimiques génèrent généralement les plus grandes préoccupations dans la fabrication de semi-conducteurs. Cependant, la plupart des blessures et des décès dans l'industrie résultent de dangers non chimiques.

Sécurité électrique

Il existe de nombreux risques électriques associés aux équipements utilisés dans cette industrie. Les verrouillages de sécurité jouent un rôle important dans la sécurité électrique, mais ces verrouillages sont souvent annulés par les techniciens de maintenance. Une quantité importante de travaux de maintenance est généralement effectuée alors que l'équipement est encore sous tension ou seulement partiellement hors tension. Les risques électriques les plus importants sont associés aux implanteurs ioniques et aux alimentations laser. Même après la mise hors tension, un potentiel de choc important existe dans l'outil et doit être dissipé avant de travailler à l'intérieur de l'outil. Le processus de révision SEMI S2 aux États-Unis et le marquage CE en Europe ont permis d'améliorer la sécurité électrique des nouveaux équipements, mais les opérations de maintenance ne sont pas toujours suffisamment prises en compte. Un examen attentif des opérations de maintenance et des risques électriques est nécessaire pour tous les équipements nouvellement installés.

Le deuxième sur la liste des risques électriques est l'ensemble d'équipements qui génèrent de l'énergie RF pendant les processus de gravure, de pulvérisation et de nettoyage de la chambre. Un blindage et une mise à la terre appropriés sont nécessaires pour minimiser le risque de brûlures RF.

Ces risques électriques et les nombreux outils qui ne sont pas mis hors tension lors des opérations de maintenance obligent les techniciens de maintenance à utiliser d'autres moyens pour se protéger, tels que des procédures de cadenassage/étiquetage. Les risques électriques ne sont pas les seules sources d'énergie qui sont traitées par le verrouillage/étiquetage. Les autres sources d'énergie comprennent les conduites sous pression, dont beaucoup contiennent des gaz ou des liquides dangereux, et les commandes pneumatiques. Les déconnexions pour contrôler ces sources d'énergie doivent se trouver dans un endroit facilement accessible, à l'intérieur du fab (fabrication) ou une zone de chasse où l'employé travaillera, plutôt que dans des endroits gênants tels que des sous-usines.

Ergonomie

L'interface entre l'employé et l'outil continue de causer des blessures. Les foulures musculaires et les entorses sont assez courantes dans l'industrie des semi-conducteurs, en particulier chez le technicien de maintenance. L'accès aux pompes, aux couvercles de chambre, etc. n'est souvent pas bien conçu lors de la fabrication de l'outil et lors du placement de l'outil dans la fabrique. Les pompes doivent être sur roulettes ou placées dans des tiroirs ou plateaux coulissants. Des dispositifs de levage doivent être incorporés pour de nombreuses opérations.

La manipulation simple des plaquettes entraîne des risques ergonomiques, en particulier dans les installations plus anciennes. Les installations plus récentes ont généralement des tranches plus grandes et nécessitent donc des systèmes de manipulation plus automatisés. Bon nombre de ces systèmes de manipulation de plaquettes sont considérés comme des dispositifs robotiques, et les problèmes de sécurité liés à ces systèmes doivent être pris en compte lors de leur conception et de leur installation (ANSI 1986).

La Sécurité Incendie

En plus du gaz silane, qui a déjà été traité, le gaz hydrogène a le potentiel d'être un risque d'incendie important. Cependant, il est mieux compris et l'industrie n'a pas vu beaucoup de problèmes majeurs associés à l'hydrogène.

Le risque d'incendie le plus grave est maintenant associé à ponts humides ou bains de décapage. Les matériaux plastiques typiques de la construction (chlorure de polyvinyle, polypropylène et polypropylène ignifuge) ont tous été impliqués dans la fab les feux. La source d'allumage peut être un réchauffeur de bain de gravure ou de placage, les commandes électriques montées directement sur le plastique ou un outil adjacent. Si un incendie se produit avec l'un de ces outils en plastique, la contamination par les particules et les produits de combustion corrosifs se propagent dans toute l'usine. La perte économique est élevée en raison du temps d'arrêt dans l'usine pendant que la zone et l'équipement sont ramenés aux normes de salle blanche. Souvent, certains équipements coûteux ne peuvent pas être correctement décontaminés et de nouveaux équipements doivent être achetés. Par conséquent, une prévention et une protection contre les incendies adéquates sont toutes deux essentielles.

La prévention des incendies peut être abordée avec différents matériaux de construction non combustibles. L'acier inoxydable est le matériau de construction préféré pour ces ponts humides, mais souvent le processus «n'accepte» pas un outil en métal. Il existe des plastiques avec moins de potentiel de feu/fumée, mais ils n'ont pas encore été suffisamment testés pour déterminer s'ils seront compatibles avec les processus de fabrication de semi-conducteurs.

Pour la protection contre l'incendie, ces outils doivent être protégés par une protection par gicleurs sans obstruction. Le placement des filtres HEPA au-dessus des bancs humides bloque souvent les têtes de gicleurs. Si cela se produit, des têtes de gicleurs supplémentaires sont installées sous les filtres. De nombreuses entreprises exigent également qu'un système de détection et d'extinction d'incendie soit installé à l'intérieur des cavités du plénum de ces outils, là où de nombreux incendies se déclarent.

 

Retour

Lire 19993 fois Dernière modification le Vendredi, Septembre 16 2011 19: 26

" AVIS DE NON-RESPONSABILITÉ : L'OIT n'assume aucune responsabilité pour le contenu présenté sur ce portail Web qui est présenté dans une langue autre que l'anglais, qui est la langue utilisée pour la production initiale et l'examen par les pairs du contenu original. Certaines statistiques n'ont pas été mises à jour depuis la production de la 4ème édition de l'Encyclopédie (1998)."

Table des matières

Références en microélectronique et semi-conducteurs

Conférence américaine des hygiénistes industriels gouvernementaux (ACGIH). 1989. Évaluation des risques et technologie de contrôle dans la fabrication de semi-conducteurs. Chelsea, MI : Éditeurs Lewis.

—. 1993. Évaluation des risques et technologie de contrôle dans la fabrication de semi-conducteurs II. Cincinnati, Ohio : ACGIH.

—. 1994. Documentation de la valeur limite de seuil, produits de décomposition thermique de soudure à noyau de colophane, en tant qu'acides résiniques-colophane. Cincinnati, Ohio : ACGIH.

Institut national américain de normalisation (ANSI). 1986. Norme de sécurité pour les robots industriels et les systèmes de robots industriels. ANSI/RIA R15.06-1986. New York : ANSI.

ASKMAR. 1990. Industrie informatique : Tendances critiques pour les années 1990. Saratoga, Californie : publications électroniques sur les tendances.

Asom, MT, J Mosovsky, RE Leibenguth, JL Zilko et G Cadet. 1991. Génération d'arsine transitoire lors de l'ouverture de chambres MBE à source solide. J Crist Growth 112(2-3):597–599.

Association des industries de l'électronique, des télécommunications et des équipements de bureau (EEE). 1991. Directives sur l'utilisation des flux de soudure à la colophane (colophane) dans l'industrie électronique. Londres : Leichester House EEE.

Baudouin, DG. 1985. Exposition chimique des graveurs d'aluminium au plasma de tétrachlorure de carbone. Résumés étendus, Electrochem Soc 85(2):449–450.

Baldwin, DG et JH Stewart. 1989. Risques chimiques et radiologiques dans la fabrication de semi-conducteurs. Technologie à semi-conducteurs 32(8):131–135.

Baldwin, DG et ME Williams. 1996. Hygiène industrielle. Dans Semiconductor Safety Handbook, édité par JD Bolmen. Park Ridge, NJ : Noyes.

Baldwin, DG, BW King et LP Scarpace. 1988. Implanteurs ioniques : Sécurité chimique et radiologique. Technologie à semi-conducteurs 31(1):99–105.

Baldwin, DG, JR Rubin et M. Horowitz. 1993. Expositions à l'hygiène industrielle dans la fabrication de semi-conducteurs. Journal ASS 7(1):19–21.

Bauer, S, I Wolff, N Werner et P Hoffman. 1992a. Dangers pour la santé dans l'industrie des semi-conducteurs, une revue. Pol J Occup Med 5(4):299–314.

Bauer, S, N Werner, I Wolff, B Damme, B Oemus et P Hoffman. 1992b. Enquêtes toxicologiques dans l'industrie des semi-conducteurs : II. Études sur la toxicité subaiguë par inhalation et la génotoxicité des déchets gazeux issus du procédé de gravure au plasma de l'aluminium. Toxicol Ind Health 8(6):431–444.

Bliss Industries. 1996. Littérature sur le système de capture de particules de crasse de soudure. Fremont, Californie : Bliss Industries.

Bureau des statistiques du travail (BLS). 1993. Enquête annuelle sur les accidents du travail et les maladies professionnelles. Washington, DC : BLS, Département américain du travail.

—. 1995. Moyennes annuelles de l'emploi et des salaires, 1994. Bulletin. 2467. Washington, DC : BLS, Département américain du travail.

Clark, RH. 1985. Manuel de fabrication de circuits imprimés. New York : Compagnie Van Nostrand Reinhold.

Cohen, R. 1986. Rayonnement radiofréquence et micro-onde dans l'industrie microélectronique. Dans State of the Art Reviews—Occupational Medicine: The Microelectronics Industry, édité par J LaDou. Philadelphie, Pennsylvanie : Hanley & Belfus, Inc.

Combes, CF. 1988. Manuel des circuits imprimés, 3e éd. New York : McGraw-Hill Book Company.

Contenu, RM. 1989. Méthodes de contrôle des métaux et des métalloïdes dans l'épitaxie en phase vapeur des matériaux III-V. Dans Hazard Assessment and Control Technology in Semiconductor Manufacturing, édité par l'American Conference of Governmental Industrial Hygienists. Chelsea, MI : Éditeurs Lewis.

Correa A, RH Gray, R Cohen, N Rothman, F Shah, H Seacat et M Corn. 1996. Éthers d'éthylène glycol et risques d'avortement spontané et d'hypofertilité. Am J Epidemiol 143(7):707–717.

Crawford, WW, D Green, WR Knolle, HM Marcos, JA Mosovsky, RC Petersen, PA Testagrossa et GH Zeman. 1993. Exposition aux champs magnétiques dans les salles blanches de semi-conducteurs. Dans la technologie d'évaluation et de contrôle des risques dans la fabrication de semi-conducteurs II. Cincinnati, Ohio : ACGIH.

Escher, G, J Weathers et B Labonville. 1993. Considérations de conception de sécurité dans la photolithographie laser excimer UV profond. Dans la technologie d'évaluation et de contrôle des risques dans la fabrication de semi-conducteurs II. Cincinnati, OH : Conférence américaine des hygiénistes industriels gouvernementaux.

Eskenazi B, EB Gold, B Lasley, SJ Samuels, SK Hammond, S Wright, MO Razor, CJ Hines et MB Schenker. 1995. Surveillance prospective de la perte fœtale précoce et de l'avortement spontané clinique chez les travailleuses des semi-conducteurs. Am J Indust Med 28(6):833–846.

Flipp, N, H Hunsaker et P Herring. 1992. Enquête sur les émissions d'hydrures lors de la maintenance d'équipements d'implantation ionique. Présenté à l'American Industrial Hygiene Conference de juin 1992, Boston—Paper 379 (non publié).

Goh, CL et SK Ng. 1987. Dermatite de contact aéroportée à la colophane dans le flux de soudure. Dermatite de contact 17(2):89–93.

Hammond SK, CJ Hines MF Hallock, SR Woskie, S Abdollahzadeh, CR Iden, E Anson, F Ramsey et MB Schenker. 1995. Stratégie d'évaluation de l'exposition à plusieurs niveaux dans l'étude sur la santé des semi-conducteurs. Am J Indust Med 28(6):661–680.

Harrison, RJ. 1986. Arséniure de gallium. Dans State of the Art Reviews—Occupational Medicine: The Microelectronics Industry, édité par J LaDou Philadelphie, PA: Hanley & Belfus, Inc.

Hathaway, GL, NH Proctor, JP Hughes et ML Fischman. 1991. Chemical Hazards of the Workplace, 3e éd. New York : Van Nostrand Reinhold.

Hausen, BM, K Krohn et E Budianto. 1990. Allergie de contact due à la colophane (VII). Études de sensibilisation avec les produits d'oxydation de l'acide abiétique et des acides apparentés. Contactez Dermat 23(5):352–358.

Commission d'hygiène et de sécurité. 1992. Code de pratique approuvé—Contrôle des sensibilisants respiratoires. Londres : responsable de la santé et de la sécurité.

Helb, GK, RE Caffrey, ET Eckroth, QT Jarrett, CL Fraust et JA Fulton. 1983. Traitement au plasma : Quelques considérations de sécurité, de santé et d'ingénierie. Technologie à semi-conducteurs 24(8):185–194.

Hines, CJ, S Selvin, SJ Samuels, SK Hammond, SR Woskie, MF Hallock et MB Schenker. 1995. Analyse de cluster hiérarchique pour l'évaluation de l'exposition des travailleurs dans l'étude sur la santé des semi-conducteurs. Am J Indust Med 28(6):713–722.

Horowitz, M. 1992. Problèmes de rayonnement non ionisant dans une installation de R et D sur les semi-conducteurs. Présenté à l'American Industrial Hygiene Conference de juin 1992, Boston—Paper 122 (non publié).

Jones, JH. 1988. Évaluation de l'exposition et du contrôle de la fabrication de semi-conducteurs. AIP Conf. Proc. (Sécurité photovoltaïque) 166:44–53.

LaDou, J (éd.). 1986. Examens de l'état de l'art - Médecine du travail: L'industrie de la microélectronique. Philadelphie, PA : Hanley et Belfus, Inc.

Lassiter, DV. 1996. Surveillance des accidents du travail et des maladies professionnelles sur une base internationale. Actes de la troisième conférence internationale ESH, Monterey, Californie.

Leach-Marshall, JM. 1991. Analyse du rayonnement détecté à partir d'éléments de processus exposés du système de test de fuite fine au krypton-85. Journal ASS 5(2):48–60.

Association des industries du plomb. 1990. Sécurité dans le soudage, directives sanitaires pour les soudeurs et le soudage. New York : Lead Industries Association, Inc.

Lenihan, KL, JK Sheehy et JH Jones. 1989. Évaluation des expositions dans le traitement de l'arséniure de gallium : une étude de cas. Dans Hazard Assessment and Control Technology in Semiconductor Manufacturing, édité par l'American Conference of Governmental Industrial Hygienists. Chelsea, MI : Éditeurs Lewis.

Maletskos, CJ et PR Hanley. 1983. Considérations de radioprotection des systèmes d'implantation d'ions. IEEE Trans sur la science nucléaire NS-30: 1592–1596.

McCarthy, CM. 1985. Exposition des travailleurs lors de la maintenance des implanteurs ioniques dans l'industrie des semi-conducteurs. Thèse de maîtrise, Université de l'Utah, Salt Lake City, UT, 1984. Résumé dans Extended Abstracts, Electrochem Soc 85(2):448.

McCurdy SA, C Pocekay, KS Hammond, SR Woskie, SJ Samuels et MB Schenker. 1995. Une enquête transversale sur les résultats de santé respiratoire et générale chez les travailleurs de l'industrie des semi-conducteurs. Am J Indust Med 28(6):847–860.

McIntyre, AJ et BJ Sherin. 1989. Arséniure de gallium : dangers, évaluation et contrôle. Technologie à semi-conducteurs 32(9):119–126.

Société de microélectronique et de technologie informatique (MCC). 1994. Feuille de route environnementale de l'industrie électronique. Austin, Texas : MCC.

—. 1996. Feuille de route environnementale de l'industrie électronique. Austin, Texas : MCC.

Mosovsky, JA, D Rainer, T Moses et WE Quinn. 1992. Génération d'hydrure transitoire pendant le traitement des semi-conducteurs III. Appl Occup Environ Hyg 7(6):375–384.

Mueller, MR et RF Kunesh. 1989. Incidences sur la sécurité et la santé des graveurs chimiques secs. Dans Hazard Assessment and Control Technology in Semiconductor Manufacturing, édité par l'American Conference of Governmental Industrial Hygienists. Chelsea, MI : Éditeurs Lewis.

O'Mara, WC. 1993. Écrans plats à cristaux liquides. New York : Van Nostrand Reinhold.

PACE Inc. 1994. Manuel d'extraction des fumées. Laurel, MD : PACE Inc.

Pastides, H, EJ Calabrese, DW Hosmer, Jr et DR Harris. 1988. Avortement spontané et symptômes généraux de maladie chez les fabricants de semi-conducteurs. J Occup Med 30:543–551.

Pocekay D, SA McCurdy, SJ Samuels et MB Schenker. 1995. Une étude transversale des symptômes musculo-squelettiques et des facteurs de risque chez les travailleurs des semi-conducteurs. Am J Indust Med 28(6):861–871.

Rainer, D, WE Quinn, JA Mosovsky et MT Asom. 1993. Génération d'hydrure transitoire III-V, Solid State Technology 36(6):35–40.

Rhoades, BJ, DG Sands et VD Mattera. 1989. Systèmes de contrôle de la sécurité et de l'environnement utilisés dans les réacteurs de dépôt chimique en phase vapeur (CVD) chez AT&T-Microelectronics-Reading. Appl Ind Hyg 4(5):105–109.

Rogers, JW. 1994. Sécurité radiologique dans les semi-conducteurs. Présenté à la Semiconductor Safety Association Conference d'avril 1994, Scottsdale, AZ (non publié).

Rooney, FP et J Leavey. 1989. Considérations sur la sécurité et la santé d'une source de lithographie à rayons X. Dans Hazard Assessment and Control Technology in Semiconductor Manufacturing, édité par l'American Conference of Governmental Industrial Hygienists. Chelsea, MI : Éditeurs Lewis.

Rosenthal, FS et S Abdollahzadeh. 1991. Évaluation des champs électriques et magnétiques d'extrêmement basse fréquence (ELF) dans les salles de fabrication de microélectronique. Appl Occup Environ Hyg 6(9):777–784.

Roychowdhury, M. 1991. Sécurité, hygiène industrielle et considérations environnementales pour les systèmes de réacteur MOCVD. Technologie à semi-conducteurs 34(1):36–38.

Scarpace, L, M Williams, D Baldwin, J Stewart et D Lassiter. 1989. Résultats de l'échantillonnage d'hygiène industrielle dans les opérations de fabrication de semi-conducteurs. Dans Hazard Assessment and Control Technology in Semiconductor Manufacturing, édité par l'American Conference of Governmental Industrial Hygienists. Chelsea, MI : Éditeurs Lewis.

Schenker MB, EB Gold, JJ Beaumont, B Eskenazi, SK Hammond, BL Lasley, SA McCurdy, SJ Samuels, CL Saiki et SH Swan. 1995. Association de l'avortement spontané et d'autres effets sur la reproduction avec le travail dans l'industrie des semi-conducteurs. Am J Indust Med 28(6):639–659.

Schenker, M, J Beaumont, B Eskenazi, E Gold, K Hammond, B Lasley, S McCurdy, S Samuels et S Swan. 1992. Rapport final à la Semiconductor Industry Association—Etude épidémiologique des effets sur la santé reproductive et autres chez les travailleurs employés dans la fabrication de semi-conducteurs. Davis, Californie : Université de Californie.

Schmidt, R, H Scheufler, S Bauer, L Wolff, M Pelzing et R Herzschuh. 1995. Enquêtes toxicologiques dans l'industrie des semi-conducteurs : III : Études sur la toxicité prénatale causée par les déchets des procédés de gravure au plasma de l'aluminium. Toxicol Ind Health 11(1):49–61.

SEMATECH. 1995. Document de transfert de sécurité du silane, 96013067 A-ENG. Austin, Texas : SEMATECH.

—. 1996. Guide d'interprétation pour SEMI S2-93 et ​​SEMI S8-95. Austin, Texas : SEMATECH.

Association de l'industrie des semi-conducteurs (SIA). 1995. Données prévisionnelles sur les ventes mondiales de semi-conducteurs. San José, Californie : SIA.

Sheehy, JW et JH Jones. 1993. Évaluation des expositions à l'arsenic et contrôles dans la production d'arséniure de gallium. Am Ind Hyg Assoc J 54(2):61–69.

Sobre, DJ. 1995. Sélection de stratifiés à l'aide de critères d'« aptitude à l'emploi », technologie de montage en surface (SMT). Libertyville, IL : Groupe d'édition IHS.

Wade, R, M Williams, T Mitchell, J Wong et B Tusé. 1981. Étude de l'industrie des semi-conducteurs. San Francisco, Californie : Département des relations industrielles de Californie, Division de la sécurité et de la santé au travail.