13 bandeira

 

83. Microeletrônica e Semicondutores

Editor de Capítulo: Michael E. Williams


Conteúdo

Tabelas e Figuras

Perfil Geral
Michael E. Williams

Fabricação de Semicondutores de Silício
David G. Baldwin, James R. Rubin e Afsaneh Gerami

Monitores de cristal líquido
David G. Baldwin, James R. Rubin e Afsaneh Gerami

Fabricação de Semicondutores III-V
David G. Baldwin, Afsaneh Gerami e James R. Rubin

Montagem de placa de circuito impresso e computador
Michael E. Williams

Efeitos na saúde e padrões de doenças
Donald V. Lassiter

Questões ambientais e de saúde pública
Mastigar Corky

Tabelas

Clique em um link abaixo para visualizar a tabela no contexto do artigo.

1. Sistemas fotorresistentes
2. Decapantes fotorresistentes
3. Ácidos químicos úmidos
4. Gases e materiais corroídos por plasma
5. Dopantes de formação de junções para difusão
6. Principais categorias de epitaxia de silício
7. Principais categorias de DCV
8. Limpeza de monitores de tela plana
9. Processo PWB: Meio Ambiente, Saúde e Segurança
10. Geração e controles de resíduos PWB
11. Geração e controles de resíduos de PCB
12. Geração de resíduos e controles
13. Matriz de necessidades prioritárias

figuras

Aponte para uma miniatura para ver a legenda da figura, clique para ver a figura no contexto do artigo.

MIC060F7MICO10F2MIC010F3MIC020F3MIC030F1MIC050F4MICO50F5MIC050F6MIC060F6MIC060F7MIC060F2MIC060F3MIC060F4MIC060F5


Clique para voltar ao topo da página

Sábado, março 19 2011 20: 40

Perfil Geral

A diversidade de processos e produtos dentro da indústria de microeletrônica e semicondutores é imensa. O foco da discussão de saúde e segurança ocupacional neste capítulo centra-se na produção de circuitos integrados (IC) de semicondutores (tanto em produtos à base de silício quanto em compostos de valência III-V), produção de placa de circuito impresso (PWB), placa de circuito impresso (PCB) montagem e montagem de computador.

A indústria é composta por vários segmentos principais. A Associação da Indústria Eletrônica usa o seguinte delineamento ao relatar dados sobre tendências, vendas e empregos pertinentes na indústria:

  • componentes eletrônicos
  • eletrônicos de consumo
  • telecomunicações
  • comunicações de defesa
  • computadores e equipamentos periféricos
  • eletrônica industrial
  • eletrônica médica.

 

Os componentes eletrônicos incluem tubos de elétrons (por exemplo, tubos de recepção, de uso especial e de televisão), produtos de estado sólido (por exemplo, transistores, diodos, ICs, diodos emissores de luz (LEDs) e monitores de cristal líquido (LCDs)) e passivos e outros componentes (por exemplo, capacitores, resistores, bobinas, transformadores e interruptores).

Eletrônicos de consumo incluem aparelhos de televisão e outros produtos de áudio e vídeo domésticos e portáteis, bem como equipamentos de informação, como computadores pessoais, máquinas de transmissão de fax e secretárias eletrônicas. Hardware e software de jogos eletrônicos, sistemas de segurança doméstica, cassetes de áudio e vídeo virgens e disquetes, acessórios eletrônicos e baterias primárias totais também se enquadram na categoria de eletrônicos de consumo.

Além de computadores de uso geral e especializados, computadores e equipamentos periféricos incluem equipamentos auxiliares de armazenamento, equipamentos de entrada/saída (por exemplo, teclados, mouses, dispositivos de leitura óptica e impressoras), terminais e assim por diante. Embora as telecomunicações, as comunicações de defesa e a eletrônica industrial e médica utilizem parte da mesma tecnologia, esses segmentos também envolvem equipamentos especializados.

O surgimento da indústria de microeletrônica teve um impacto profundo na evolução e na estrutura da economia mundial. O ritmo de mudança nas nações industrializadas do mundo tem sido muito influenciado pelos avanços dessa indústria, especificamente na evolução do circuito integrado. Esse ritmo de mudança é representado graficamente na linha do tempo do número de transistores por chip de circuito integrado (veja a figura 1).

Figura 1. Transistores por chip de circuito integrado

MICO10F1

A importância econômica das vendas mundiais de semicondutores é significativa. A Figura 2 é uma projeção da Associação da Indústria de Semicondutores para as vendas mundiais e regionais de semicondutores de 1993 a 1998.

Figura 2. Previsão mundial de vendas de semicondutores

MICO10F2

As indústrias de circuitos integrados de semicondutores e de montagem de computadores/eletrônicos são únicas em comparação com a maioria das outras categorias industriais na composição relativa de suas forças de trabalho de produção. A área de fabricação de semicondutores possui um alto percentual de operadoras do sexo feminino que comandam os equipamentos de processo. As tarefas relacionadas ao operador geralmente não exigem levantamento de peso ou excesso de força física. Além disso, muitas das tarefas do trabalho envolvem habilidades motoras finas e atenção aos detalhes. Os trabalhadores do sexo masculino predominam nas tarefas relacionadas com a manutenção, funções de engenharia e gestão. Uma composição semelhante é encontrada na parte de montagem de computadores/eletrônicos desse segmento da indústria. Outra característica incomum desta indústria é a concentração da manufatura na região da Ásia/Pacífico do mundo. Isso é especialmente verdadeiro no Assembléia final or Back-end processos na indústria de semicondutores. Esse processamento envolve o posicionamento e a colocação do chip de circuito integrado fabricado (tecnicamente conhecido como matriz) em um porta-chips e uma estrutura principal. Esse processamento requer um posicionamento preciso do chip, geralmente por meio de um microscópio, e habilidades motoras muito finas. Mais uma vez, as trabalhadoras predominam nessa parte do processo, com a maior parte da produção mundial concentrada na orla do Pacífico, com altas concentrações em Taiwan, Malásia, Tailândia, Indonésia e Filipinas, e números crescentes na China e no Vietnã.

As áreas de fabricação de circuitos integrados semicondutores têm várias propriedades incomuns e características exclusivas desta indústria. Ou seja, o processamento de IC envolve regimes e requisitos de controle de partículas extremamente rígidos. Uma típica área moderna de fabricação de IC pode ser classificada como uma sala limpa Classe 1 ou menos. Como método de comparação, um ambiente ao ar livre seria superior à Classe 500,000; um quarto típico em uma casa de aproximadamente classe 100,000; e uma área de montagem de back-end de semicondutores de aproximadamente classe 10,000. Atingir esse nível de controle de partículas envolve, na verdade, colocar o trabalhador da fabricação em um ambiente totalmente fechado. ternos de coelho que possuem sistemas de abastecimento de ar e filtragem para controlar os níveis de particulados gerados pelos trabalhadores da área fabril. Os ocupantes humanos das áreas de fabricação são considerados geradores muito potentes de partículas finas de seu ar exalado, descamação de pele e cabelo e de suas roupas e sapatos. Essa exigência de uso de roupas confinantes e rotinas de trabalho isoladas contribuiu para que os funcionários sentissem que estavam trabalhando em um ambiente de trabalho “não hospitaleiro”. Veja a figura 3. Além disso, na área fotolitográfica, o processamento envolve expor o wafer a uma solução fotoativa e, em seguida, padronizar uma imagem na superfície do wafer usando luz ultravioleta. Para aliviar a luz ultravioleta (UV) indesejada dessa área de processamento, são usadas luzes amarelas especiais (elas não possuem o componente de comprimento de onda UV normalmente encontrado na iluminação interna). Essas luzes amarelas ajudam a fazer com que os trabalhadores sintam que estão em um ambiente de trabalho diferente e podem ter um efeito desorientador em alguns indivíduos.

Figura 3. Uma sala limpa de última geração

MIC010F3

 

Voltar

Sábado, março 19 2011 20: 44

Fabricação de Semicondutores de Silício

Visão geral do processo

A descrição do processamento de dispositivos semicondutores de silício, sejam dispositivos discretos (um semicondutor contendo apenas um dispositivo ativo, como um transistor) ou ICs (matrizes interconectadas de elementos ativos e passivos dentro de um único substrato semicondutor capaz de executar pelo menos uma função de circuito eletrônico) , envolve inúmeras operações altamente técnicas e específicas. A intenção desta descrição é fornecer uma estrutura básica e uma explicação das etapas do componente principal utilizadas na fabricação de um dispositivo semicondutor de silício e as questões ambientais, de saúde e segurança (EHS) associadas.

A fabricação de um CI envolve uma sequência de processos que podem ser repetidos muitas vezes antes que um circuito seja concluído. Os ICs mais populares usam 6 ou mais máscaras para completar os processos de padronização, sendo típico de 10 a 24 máscaras. A fabricação de um microcircuito começa com um wafer de silício de pureza ultra-alta de 4 a 12 polegadas de diâmetro. Silício perfeitamente puro é quase um isolante, mas certas impurezas, chamadas dopantes, adicionados em quantidades de 10 a 100 partes por milhão, fazem o silício conduzir eletricidade.

Um circuito integrado pode consistir em milhões de transistores (também diodos, resistores e capacitores) feitos de silício dopado, todos conectados pelo padrão apropriado de condutores para criar a lógica do computador, memória ou outro tipo de circuito. Centenas de microcircuitos podem ser feitos em um wafer.

Seis principais etapas de processamento de fabricação são universais para todos os dispositivos semicondutores de silício: oxidação, litografia, corrosão, dopagem, deposição química de vapor e metalização. Estes são seguidos pela montagem, teste, marcação, embalagem e envio.

Oxidação

Geralmente, a primeira etapa no processamento de dispositivos semicondutores envolve a oxidação da superfície externa do wafer para formar uma camada fina (cerca de um mícron) de dióxido de silício (SiO2). Isso protege principalmente a superfície de impurezas e serve como uma máscara para o processo de difusão subsequente. Essa capacidade de desenvolver um wafer protetor quimicamente estável de dióxido de silício em silício torna os wafers de silício o substrato semicondutor mais amplamente utilizado.

A oxidação, comumente chamada de oxidação térmica, é um processo descontínuo que ocorre em um forno de difusão de alta temperatura. A camada protetora de dióxido de silício é cultivada em atmosferas contendo oxigênio (O2) (oxidação seca) ou oxigênio combinado com vapor de água (H2O) (oxidação úmida). As temperaturas no forno variam de 800 a 1,300oC. Compostos de cloro na forma de cloreto de hidrogênio (HCl) também podem ser adicionados para ajudar a controlar as impurezas indesejadas.

A tendência em instalações de fabricação mais novas é para fornos de oxidação verticais. Os fornos verticais atendem melhor à necessidade de maior controle de contaminação, wafer de tamanho maior e processamento mais uniforme. Eles permitem uma pegada de equipamento menor que conserva o precioso espaço da sala limpa.

oxidação seca

Os wafers de silício a serem oxidados são primeiro limpos, usando uma solução de detergente e água, e o solvente enxaguado com xileno, álcool isopropílico ou outros solventes. Os wafers limpos são secos, carregados em um suporte de wafer de quartzo chamado barco e carregado na extremidade do operador (fim de carga) do tubo ou célula do forno de difusão de quartzo. A extremidade de entrada do tubo (final da fonte) fornece oxigênio de alta pureza ou mistura de oxigênio/nitrogênio. O fluxo de oxigênio “seco” é controlado no tubo de quartzo e garante que um excesso de oxigênio esteja disponível para o crescimento do dióxido de silício na superfície do wafer de silício. A reação química básica é:

Si + O2 → SiO2

Oxidação úmida

Quatro métodos de introdução de vapor de água são comumente usados ​​quando a água é o agente oxidante - pirofórico, alta pressão, borbulhador e flash. As reações químicas básicas são:

Pirofórico e alta pressão: Si + 2O2 + 2 H2 → SiO2 + 2H2O

Flash e borbulhador: Si + 2H2O → SiO2 + 2H2

oxidação pirofórica envolve a introdução e combustão de uma mistura de gás hidrogênio/oxigênio. Esses sistemas são geralmente chamados de hidrogênio queimado or tocha sistemas. O vapor de água é produzido quando quantidades adequadas de hidrogênio e oxigênio são introduzidas na extremidade de entrada do tubo e deixadas reagir. A mistura deve ser controlada com precisão para garantir uma combustão adequada e evitar o acúmulo de gás hidrogênio explosivo.

Oxidação de alta pressão (HiPox) é tecnicamente chamado de sistema de pirossíntese de água e gera vapor de água através da reação de hidrogênio ultrapuro e oxigênio. O vapor é então bombeado para uma câmara de alta pressão e pressurizado a 10 atmosferas, o que acelera o processo de oxidação úmida. Água deionizada também pode ser usada como fonte de vapor.

In oxidação do borbulhador água deionizada é colocada em um recipiente chamado borbulhador e mantida a uma temperatura constante abaixo do seu ponto de ebulição de 100°C através da utilização de uma manta de aquecimento. O gás nitrogênio ou oxigênio entra no lado de entrada do borbulhador, torna-se saturado com vapor de água à medida que sobe pela água e sai pela saída para o forno de difusão. Os sistemas Bubbler parecem ser o método de oxidação mais amplamente utilizado.

In oxidação instantânea água deionizada é gotejada continuamente na superfície inferior aquecida de um recipiente de quartzo e a água evapora rapidamente quando atinge a superfície quente. Gás transportador de nitrogênio ou oxigênio flui sobre a água em evaporação e transporta o vapor de água para o forno de difusão.

Litografia

A litografia, também conhecida como fotolitografia ou simplesmente mascaramento, é um método de formar padrões com precisão no wafer oxidado. O circuito microeletrônico é construído camada por camada, cada camada recebendo um padrão de uma máscara prescrita no projeto do circuito.

Os negócios de impressão desenvolveram os verdadeiros antecedentes dos atuais processos de microfabricação de dispositivos semicondutores. Esses desenvolvimentos referem-se à fabricação de chapas de impressão, geralmente de metal, nas quais a remoção do material por ataque químico produz um padrão de relevo na superfície. Esta mesma técnica básica é usada na produção de máscaras de mestre utilizados na fabricação de cada camada de processamento de um dispositivo.

Os projetistas de circuitos digitalizam os circuitos básicos de cada camada. Este esquema computadorizado permite a geração rápida do circuito da máscara e facilita quaisquer alterações que possam ser necessárias. Esta técnica é conhecida como desenho assistido por computador (CAD). Utilizando poderosos algoritmos de computador, esses sistemas de design on-line permitem que o designer projete e modifique os circuitos diretamente nas telas de exibição de vídeo com recursos gráficos interativos.

O desenho final, ou máscara, para cada camada de circuito é criado por um fotoplotter ou gerador de padrão controlado por computador. Esses desenhos fotoplotados são então reduzidos ao tamanho real do circuito, uma máscara mestre produzida em vidro com relevo cromado e reproduzida em uma placa de trabalho que serve para impressão por contato ou projeção no wafer.

Essas máscaras delineiam o padrão das áreas condutoras e isolantes que são transferidas para o wafer por meio de fotolitografia. A maioria das empresas não produz suas próprias máscaras, mas utiliza as fornecidas por um produtor de máscaras.

Limpeza

A necessidade de uma superfície de wafer externa livre de partículas e contaminação requer limpeza frequente. As principais categorias são:

  • água deionizada e lavagem com detergente
  • solvente: álcool isopropílico (IPA), acetona, etanol, terpenos
  • ácido: fluorídrico (HF), sulfúrico (H2SO4) e peróxido de hidrogênio (H2O2), clorídrico (HCl), nítrico (HNO3) e misturas
  • cáustico: hidróxido de amônio (NH4OH).

 

Aplicação de resistência

Os wafers são revestidos com um material resistente de polímero à base de solvente e girados rapidamente em um fiandeiro, que espalha uma fina camada uniforme. Os solventes então evaporam, deixando um filme polimérico. Todos os materiais resistentes dependem de mudanças induzidas por radiação (principalmente ultravioleta) na solubilidade de um polímero orgânico sintético em um enxágue revelador selecionado. Os materiais resistentes são classificados como resistentes negativos ou positivos, dependendo se a solubilidade no revelador diminui (negativa) ou aumenta (positiva) após a exposição à radiação. A Tabela 1 identifica a composição dos componentes de vários sistemas fotorresistentes.

Tabela 1. Sistemas fotorresistentes

Ultravioleta

Perto (350-450 milhas náuticas)

Negativo

PB
S
D

Borracha alifática à base de azida (isopreno)
Acetato de n-butila, xileno, n-metil-2-pirrolidona, etil benzeno
Xileno, hidrocarbonetos alifáticos, acetato de n-butila,
Solvente Stoddard (destilados de petróleo)

 

Positivo

PB
S


D

Orto-diazocetona
Acetato de éter monometílico de propilenoglicol, lactato de etila, metil
propionato de metoxi, propionato de etoxi etil, acetato de n-butila, xileno,
clorotolueno
Hidróxido de sódio, silicatos, hidróxido de potássio

Profundidade (200–250 nm)

Principalmente
positivo resiste

   

Feixe de elétrons (cerca de 100 nm)

 

Negativo

PB
S
D

Copolímero-etil acrilato e glicidil metacrilato (COP)
não aplicável
não aplicável

 

Positivo

PB

S
D

Polimetilmetacrilato, polifluoralquilmetacrilato, polialquilaldeído, poli-ciano etilacrilato
Acetato de éter monometílico de propileno glicol
Alcalina ou IPA, acetato de etila ou metil isobutil cetona (MIBK)

Raio X (0.5–5 nm)

 

Negativo

PB
S
D

Copolímero-etil acrilato e glicidil metacrilato (COP)
não aplicável
não aplicável

 

Positivo

PB

S
D

Polimetilmetacrilato, ortodiazocetona, poli
(hexa-fluorobutilmetacrilato), poli (buteno-1-sulfona)
Acetato de éter monometílico de propileno glicol
não aplicável

PB = base polimérica; S = solvente; D = desenvolvedor.

Como a maioria dos fotorresistentes é sensível à luz ultravioleta (UV), a área de processamento é iluminada com luzes amarelas especiais sem comprimentos de onda UV sensíveis (consulte a figura 1).

Figura 1. Equipamento fotolitográfico “Yellow room”

MIC020F3

Resistentes UV negativos e positivos são usados ​​principalmente na indústria. No entanto, as resistências de feixe eletrônico e raio-x estão ganhando participação de mercado por causa de suas resoluções mais altas. As preocupações com a saúde na litografia são causadas principalmente por riscos reprodutivos potenciais associados a resistências positivas selecionadas (por exemplo, acetato de éter monoetílico de etilenoglicol como transportador) que atualmente estão sendo eliminados pela indústria. Odores ocasionais das resistências negativas (por exemplo, xileno) também resultam em preocupações dos funcionários. Devido a essas preocupações, muito tempo é gasto pelos higienistas industriais da indústria de semicondutores fazendo amostragem de operações fotorresistentes. Embora isso seja útil para caracterizar essas operações, as exposições de rotina durante as operações da centrífuga e do revelador são tipicamente inferiores a 5% dos padrões aerotransportados de exposição ocupacional para os solventes usados ​​no processo (Scarpace et al. 1989).

Uma exposição de 1 hora ao acetato de éter monoetílico de etileno glicol de 6.3 ppm foi encontrada durante a operação de um sistema giratório. Esta exposição foi causada principalmente por más práticas de trabalho durante a operação de manutenção (Baldwin, Rubin e Horowitz 1993).

Secagem e pré-cozimento

Após a aplicação da resistência, os wafers são movidos em uma esteira ou movidos manualmente do spinner para um forno com temperatura controlada e atmosfera de nitrogênio. Uma temperatura moderada (70 a 90°C) causa a cura do fotorresistente (cozimento suave) e a evaporação dos solventes remanescentes.

Para garantir a adesão da camada de resistência ao wafer, um primer, hexametildisilizano (HMDS), é aplicado ao wafer. O primer prende a água molecular na superfície do wafer. O HMDS é aplicado diretamente em um processo de imersão ou spin-on ou por meio de um vapor prime que oferece vantagens de custo e processo em relação aos outros métodos.

Alinhamento e exposição da máscara

A máscara e o wafer são aproximados usando uma peça precisa de equipamento ótico/mecânico, e a imagem na máscara é alinhada a qualquer padrão já existente no wafer abaixo da camada de fotorresistente. Para a primeira máscara, nenhum alinhamento é necessário. Em tecnologias mais antigas, o alinhamento de camadas sucessivas é possível pelo uso de um biscópio (microscópio de lente dupla) e controles de precisão para posicionar o wafer em relação à máscara. Nas tecnologias mais recentes, o alinhamento é feito automaticamente usando pontos de referência nos wafers.

Feito o alinhamento, uma fonte ultravioleta de vapor de mercúrio ou lâmpada de arco de alta intensidade brilha através da máscara, expondo a resistência em locais não protegidos por regiões opacas da máscara.

Os vários métodos de alinhamento e exposição do wafer incluem exposição à radiação UV (contato ou proximidade), exposição à radiação UV através de lentes de projeção para redução (projeção), etapa de UV e exposição repetida à redução (projeção), inundação de raios-x (proximidade) e varredura por feixe de elétrons exposição (escrita direta). O principal método em uso envolve a exposição aos raios UV de vapor de mercúrio e lâmpadas de arco por meio de alinhadores de proximidade ou projeção. As resistências UV são projetadas para reagir a um amplo espectro de comprimentos de onda UV ou são formuladas para reagir preferencialmente a uma ou mais das principais linhas do espectro emitidas pela lâmpada (por exemplo, linha g em 435 nm, linha h em 405 nm e linha i a 365 nm).

Os comprimentos de onda predominantes da luz UV atualmente usados ​​em fotomascaramento são 365 nm ou acima, mas os espectros das lâmpadas UV também contêm energia significativa na região de comprimento de onda de interesse para a saúde, a região actínica abaixo de 315 nm. Normalmente, a intensidade da radiação UV que escapa do equipamento é menor tanto do que a luz solar presente na região actínica quanto dos padrões estabelecidos para exposição ocupacional aos raios UV.

Ocasionalmente durante a manutenção, o alinhamento da lâmpada UV exige que ela seja energizada fora do gabinete do equipamento ou sem filtros de proteção normais. Os níveis de exposição durante esta operação podem exceder os limites de exposição ocupacional, mas o vestuário padrão de sala limpa (por exemplo, aventais, luvas de vinil, máscaras faciais e óculos de segurança de policarbonato com inibidor de UV) geralmente é adequado para atenuar a luz UV abaixo dos limites de exposição (Baldwin e Stewart 1989 ).

Enquanto os comprimentos de onda predominantes para lâmpadas ultravioleta usadas em fotolitografia são de 365 nm ou acima, a busca por recursos menores em ICs avançados está levando ao uso de fontes de exposição com comprimentos de onda menores, como UV profundo e raios x. Uma nova tecnologia para esse fim é o uso de excimer lasers de fluoreto de criptônio usados ​​em steppers. Esses steppers usam um comprimento de onda de 248 nm com saídas de alta potência de laser. No entanto, os gabinetes desses sistemas contêm o feixe durante a operação normal.

Tal como acontece com outros equipamentos que contêm sistemas de laser de alta potência usados ​​na fabricação de semicondutores, a principal preocupação é quando os intertravamentos do sistema devem ser anulados durante o alinhamento do feixe. Lasers de alta potência também são um dos perigos elétricos mais significativos na indústria de semicondutores. Mesmo depois de desligada a energia, existe um potencial de choque significativo dentro da ferramenta. Os controles e as considerações de projeto de segurança para esses sistemas são cobertos por Escher, Weathers e Labonville (1993).

Uma fonte de exposição de tecnologia avançada usada na litografia são os raios x. Os níveis de emissão de fontes de litografia de raios-x podem resultar em taxas de dose próximas a 50 milisieverts (5 rems) por ano no centro do equipamento. Restringir o acesso a áreas dentro da parede blindada é recomendado para minimizar a exposição (Rooney e Leavey 1989).

Em desenvolvimento

Durante a etapa de desenvolvimento, as áreas não polimerizadas do resiste são dissolvidas e removidas. O revelador à base de solvente é aplicado à superfície do wafer revestido com resina por imersão, pulverização ou atomização. As soluções reveladoras são identificadas na tabela 1. Um enxágue com solvente (n-acetato de butila, álcool isopropílico, acetona, etc.) geralmente é aplicado após o revelador para remover qualquer material residual. A resistência remanescente após o desenvolvimento protege as camadas individuais durante o processamento subsequente.

Cozimento

Depois de alinhar, expor e desenvolver a resistência, os wafers seguem para outro forno com temperatura controlada e atmosfera de nitrogênio. O forno de temperatura mais alta (120 a 135°C) faz com que o fotorresiste cure e polimerize totalmente na superfície do wafer (cozimento duro).

Decapagem fotorresistente

O wafer desenvolvido é então gravado seletivamente usando produtos químicos úmidos ou secos (consulte “Gravação” abaixo). O fotorresistente restante deve ser retirado do wafer antes do processamento posterior. Isso é feito usando soluções químicas úmidas em banhos com temperatura controlada ou por meio do uso de um incinerador de plasma ou pó químico seco. A Tabela 2 identifica os constituintes químicos úmidos e secos. Segue-se uma discussão sobre a corrosão por plasma químico seco, usando o mesmo equipamento e princípios de operação da incineração de plasma.


Tabela 2. Decapantes fotorresistentes

Químico úmido

 Ácido

Sulfúrico (H2SO4) e crômico (CrO3)

Sulfúrico (H2SO4) e persulfato de amônio ((NH4)2S2O8)

Sulfúrico (H2SO4) e peróxido de hidrogênio (H2O2)

Orgânico

Fenóis, ácidos sulfúricos, triclorobenzeno, percloroetileno

Éteres glicol, etanolamina, trietanolamina

Hidróxido de sódio e silicatos (resistente positivo)

Químico seco

Incineração por plasma (decapagem)

Fonte de alimentação de RF (rádio frequência) — frequência de 13.56 MHz ou 2,450 MHz

Oxigênio (O2) fonte de gás

Sistemas de bomba de vácuo

—Óleo lubrificado com armadilha de nitrogênio líquido (tecnologia antiga)
— Lubrificado com fluidos inertes de perfluoropoliéter (tecnologia mais recente)
—Bomba seca (tecnologia mais recente)


Gravura

A corrosão remove camadas de dióxido de silício (SiO2), metais e polissilício, bem como resiste, de acordo com os padrões desejados delineados pelo resist. As duas principais categorias de corrosão são químicas úmidas e secas. A corrosão úmida é predominantemente usada e envolve soluções contendo os ácidos (geralmente uma mistura de ácidos) nas forças desejadas, que reagem com os materiais a serem removidos. A corrosão seca envolve o uso de gases reativos sob vácuo em uma câmara altamente energizada, que também remove as camadas desejadas não protegidas por resistência.

Químico úmido

As soluções de ataque químico úmido são alojadas em banhos de ataque químico com temperatura controlada feitos de polipropileno (poly-pro), polipropileno resistente a chamas (FRPP) ou cloreto de polivinila (PVC). Os banhos geralmente são equipados com ventilação de exaustão tipo anel ou exaustão com fenda na parte traseira da estação de corrosão química úmida. As capelas de fluxo laminar vertical fornecem ar livre de partículas uniformemente filtrado para a superfície superior dos banhos de corrosão. Soluções químicas comuns de condicionamento úmido são apresentadas na tabela 3, em relação à camada superficial a ser atacada.

Tabela 3. Ácidos químicos úmidos

Material para gravar

Decapantes

Silício

Silício policristalino (Si)

Ácidos fluorídrico, nítrico, acético e iodo
Hidróxido de potássio
Etilenodiamina/catecol
Fluoreto de amônio, ácido acético glacial e ácido nítrico

Dióxido de silício (SiO2)

Etch de óxido tamponado (BOE) - Fluorídrico e
fluoreto de amônia
BOE, etilenoglicol, éter monometílico
Fluorídrico e nítrico (P-etch)

Nitreto de silício (Si3N4)

Ácidos fosfórico e fluorídrico

Óxido CVD ou Pad Etch

Fluoreto de amônio, ácidos acético e fluorídrico

Metais

Alumínio (Al)

Ácidos fosfórico, nítrico, acético e clorídrico
Hidróxido de sódio, hidróxido de potássio

Cromo-Níquel (Cr/Ni)

Nitrato de amônio cérico e ácido nítrico
Ácidos clorídrico e nítrico (água régia)

Ouro (Au)

Ácidos clorídrico e nítrico (água régia)
Iodeto de potássio (KI)
Cianeto de potássio (KCN) e peróxido de hidrogênio (H2O2)
Cloreto férrico (FeCl3) e ácido clorídrico

Prata (Ag)

Nitrato férrico (FeNO3) e etilenoglicol
Ácido nítrico

Compound

Fórmula

Concentração padrão (%)

Ácido acético

CH3COOH

36

Fluoreto de amônio

NH4F

40

Ácido acético glacial

CH3COOH

99.5

Ácido clorídrico

HCl

36

Acido hidrosulfurico

HF

49

Ácido nítrico

HNO3  

67

Ácido fosfórico

H3PO4  

85

Hidróxido de potássio

KOH

50 ou 10

Hidróxido de sódio

NaOH

50 ou 10

Ácido sulfúrico

H2SO4  

96

 

Coifas de fornecimento de fluxo montadas verticalmente, quando usadas em conjunto com proteções contra respingos e ventilação de exaustão, podem criar áreas de turbulência de ar dentro da estação de corrosão química úmida. Como resultado, é possível uma diminuição na eficácia da ventilação de exaustão local na captura e no encaminhamento de contaminantes do ar fugitivos dos banhos de corrosão em uso.

A principal preocupação com a corrosão úmida é a possibilidade de contato da pele com os ácidos concentrados. Embora todos os ácidos usados ​​na corrosão possam causar queimaduras por ácido, a exposição ao ácido fluorídrico (HF) é particularmente preocupante. O intervalo entre o contato com a pele e a dor (até 24 horas para soluções com menos de 20% de HF e 1 a 8 horas para soluções de 20 a 50%) pode resultar em atraso no tratamento e queimaduras mais graves do que o esperado (Hathaway et al. 1991) .

Historicamente, as queimaduras por ácido têm sido um problema particular na indústria. No entanto, a incidência de contato da pele com ácidos foi reduzida nos últimos anos. Parte dessa redução foi causada por melhorias relacionadas ao produto no processo de corrosão, como a mudança para a corrosão a seco, o uso de mais robótica e a instalação de sistemas de dosagem de produtos químicos. A redução na taxa de queimaduras de ácido também pode ser atribuída a melhores técnicas de manuseio, maior uso de equipamentos de proteção individual, conveses molhados melhor projetados e melhor treinamento - todos os quais requerem atenção contínua se a taxa diminuir ainda mais (Baldwin e Williams 1996 ).

Químico seco

A corrosão química seca é uma área de crescente interesse e uso devido à sua capacidade de controlar melhor o processo de corrosão e reduzir os níveis de contaminação. O processamento químico seco grava efetivamente as camadas desejadas por meio do uso de gases quimicamente reativos ou por meio de bombardeio físico.

Foram desenvolvidos sistemas de gravação por plasma quimicamente reativos que podem efetivamente gravar silício, dióxido de silício, nitreto de silício, alumínio, tântalo, compostos de tântalo, cromo, tungstênio, ouro e vidro. Dois tipos de sistemas de reatores de gravação a plasma estão em uso - o barril, ou cilíndrico, e a placa paralela, ou planar. Ambos operam com os mesmos princípios e variam principalmente apenas na configuração.

Um plasma é semelhante a um gás, exceto que alguns dos átomos ou moléculas do plasma são ionizados e podem conter um número substancial de radicais livres. O reator típico consiste em uma câmara de reator a vácuo contendo o wafer, geralmente feito de alumínio, vidro ou quartzo; uma fonte de energia de radiofrequência (RF) - geralmente em 450 kHz, 13.56 MHz ou 40.5 MHz e um módulo de controle para controlar o tempo de processamento, a composição do gás reagente, a taxa de fluxo do gás e o nível de potência de RF. Além disso, uma fonte de vácuo de bomba de desbaste lubrificada a óleo (tecnologia mais antiga) ou seca (tecnologia mais recente) está alinhada com a câmara do reator. Os wafers são carregados no reator, individualmente ou em cassetes, uma bomba evacua a câmara e o gás reagente (geralmente tetrafluoreto de carbono) é introduzido. A ionização do gás forma o plasma de corrosão, que reage com os wafers para formar produtos voláteis que são bombeados para longe. A introdução de gás reagente fresco na câmara mantém a atividade de corrosão. A Tabela 4 identifica os materiais e gases de plasma em uso para gravar várias camadas.

Tabela 4. Gases de condicionamento de plasma e materiais corroídos

Material

Gas

Silício

Polisilício (polySi) e Silício

CF + O2, CCl4 ou CF3Cl, CF4 e HCl

Dióxido de silício (SiO2)

C2F6C3F8, CF4, SiF4C5F12, CHF3, CCl2F2, Sf6, frequência cardíaca

Nitreto de silício (Si3N4)

CF4 + Ar, CF4 + O2, CF4 + H2

Metais

Alumínio (Al)

CCl4 ou BCl3 + Ele ou Ar

Crómio (Cr)

CCl4

Óxido de cromo (CrO3)

Cl2 + Ar ou CCl4 +Ar

Arsenieto de gálio (GaAs)

CCl2F2

Vanádio (V)

CF4

Titânio (Ti)

CF4

Tântulo (Ta)

CF4

Molibdênio (Mo)

CF4

Tungstênio (W)

CF4

 

Outro método que atualmente está sendo desenvolvido para corrosão é o micro-ondas downstream. Ele usa uma descarga de micro-ondas de alta densidade de potência para produzir átomos metaestáveis ​​com longa vida útil que gravam o material quase como se estivesse imerso em ácido.

Os processos de corrosão física são semelhantes ao jateamento de areia em que os átomos de gás argônio são usados ​​para bombardear fisicamente a camada a ser gravada. Um sistema de bomba de vácuo é usado para remover o material deslocado. A corrosão iônica reativa envolve uma combinação de corrosão seca química e física.

O processo de pulverização catódica é um processo de impacto de íons e transferência de energia. A gravação por pulverização incorpora um sistema de pulverização catódica, onde o wafer a ser gravado é conectado a um eletrodo ou alvo negativo em um circuito de descarga luminescente. O material sai do wafer por bombardeio com íons positivos, geralmente argônio, e resulta no deslocamento dos átomos da superfície. A energia é fornecida por uma fonte de RF na frequência de 450 kHz. Um sistema de vácuo em linha é usado para controle de pressão e remoção de reagente.

A corrosão e fresagem por feixe de íons é um processo de corrosão suave que usa um feixe de íons de baixa energia. O sistema de feixe de íons consiste em uma fonte para gerar o feixe de íons, uma câmara de trabalho na qual ocorre a corrosão ou fresagem, fixação com uma placa alvo para segurar os wafers no feixe de íons, um sistema de bomba de vácuo, eletrônica de apoio e instrumentos. O feixe de íons é extraído de um gás ionizado (argônio ou argônio/oxigênio) ou plasma, que é criado pela descarga elétrica. A descarga é obtida pela aplicação de uma tensão entre um cátodo de filamento quente emissor de elétrons e um cilindro anódico localizado no diâmetro externo da região de descarga.

A moagem de feixe de íons é feita na faixa de baixa energia do bombardeio de íons, onde ocorrem apenas interações de superfície. Esses íons, geralmente na faixa de 500 a 1,000 eV, atingem um alvo e cuspir fora átomos da superfície, quebrando as forças que ligam o átomo ao seu vizinho. A corrosão por feixe de íons é feita em uma faixa de energia ligeiramente mais alta, que envolve um deslocamento mais dramático dos átomos da superfície.

A corrosão iônica reativa (RIE) é uma combinação de pulverização catódica física e corrosão química de espécies reativas a baixas pressões. O RIE usa bombardeio iônico para atingir a corrosão direcional e também um gás quimicamente reativo, o tetrafluoreto de carbono (CF4) ou tetracloreto de carbono (CCl4), para manter uma boa seletividade da camada gravada. Um wafer é colocado em uma câmara com uma atmosfera de composto de gás quimicamente reativo a uma baixa pressão de cerca de 0.1 torr (1.3 x 10-4 atmosfera). Uma descarga elétrica cria um plasma de “radicais livres” reativos (íons) com uma energia de algumas centenas de elétron-volts. Os íons atingem a superfície do wafer verticalmente, onde reagem para formar espécies voláteis que são removidas por um sistema de vácuo em linha de baixa pressão.

Ácidos secos às vezes têm um ciclo de limpeza que é usado para remover depósitos que se acumulam no interior das câmaras de reação. Os compostos originais usados ​​para os plasmas do ciclo de limpeza incluem trifluoreto de nitrogênio (NF3), hexafluoretano (C2F6) e octafluoropropano (C3F8).

Esses três gases usados ​​no processo de limpeza e muitos dos gases usados ​​na corrosão são a pedra angular de uma questão ambiental enfrentada pela indústria de semicondutores que surgiu em meados da década de 1990. Vários dos gases altamente fluorados foram identificados como tendo potencial significativo de aquecimento global (ou efeito estufa). (Esses gases também são chamados de PFCs, compostos perfluorados.) O longo tempo de vida na atmosfera, o alto potencial de aquecimento global e o aumento significativo do uso de PFCs como NF3C2F6C3F8, CF4, trifluorometano (CHF3) e hexafluoreto de enxofre (SF6) fez com que a indústria de semicondutores se concentrasse em maneiras de reduzir suas emissões.

As emissões atmosféricas de PFCs da indústria de semicondutores foram devidas à baixa eficiência das ferramentas (muitas ferramentas consumiram apenas 10 a 40% do gás usado) e equipamentos inadequados de redução de emissões atmosféricas. Lavadores úmidos não são eficazes na remoção de PFCs, e testes em muitas unidades de combustão revelaram baixa eficiência de destruição de alguns gases, especialmente CF4. Muitas dessas unidades de combustão quebraram C2F6 e C3F8 em CF4. Além disso, o alto custo de propriedade dessas ferramentas de redução, sua demanda de energia, sua liberação de outros gases de aquecimento global e seus subprodutos de combustão de poluentes atmosféricos perigosos indicaram que a redução da combustão não era um método adequado para controlar as emissões de PFC.

Tornar as ferramentas de processo mais eficientes, identificar e desenvolver alternativas mais ecológicas para esses gases de ataque seco e a recuperação/reciclagem dos gases de exaustão têm sido as ênfases ambientais associadas aos agentes de ataque a seco.

A principal ênfase da higiene ocupacional para gravadores a seco tem sido a exposição potencial ao pessoal de manutenção que trabalha nas câmaras de reação, bombas e outros equipamentos associados que podem conter resíduos de produtos de reação. A complexidade dos gravadores de metal a plasma e a dificuldade em caracterizar os odores associados à sua manutenção os tornaram objeto de muitas investigações.

Os produtos de reação formados em gravadores de metal de plasma são uma mistura complexa de compostos clorados e fluorados. A manutenção de gravadores de metal geralmente envolve operações de curta duração que geram fortes odores. Verificou-se que o hexacloroetano é a principal causa de odor em um tipo de gravador de alumínio (Helb et al. 1983). Em outro, o cloreto de cianogênio era o principal problema: os níveis de exposição eram 11 vezes o limite de exposição ocupacional de 0.3 ppm (Baldwin 1985). Ainda em outros tipos de gravadores, o cloreto de hidrogênio está associado ao odor; a exposição máxima medida foi de 68 ppm (Baldwin, Rubin e Horowitz 1993). Para obter informações adicionais sobre o assunto, consulte Mueller e Kunesh (1989).

A complexidade das substâncias químicas presentes nos gases de escape dos gravadores de metal levou os pesquisadores a desenvolver métodos experimentais para investigar a toxicidade dessas misturas (Bauer et al. 1992a). A aplicação desses métodos em estudos com roedores indica que algumas dessas misturas químicas são suspeitas de serem mutagênicas (Bauer et al. 1992b) e toxinas reprodutivas suspeitas (Schmidt et al. 1995).

Como os gravadores a seco operam como sistemas fechados, a exposição química aos operadores do equipamento normalmente não ocorre enquanto o sistema está fechado. Uma rara exceção a isso é quando o ciclo de purga para gravadores de batelada mais antigos não é longo o suficiente para remover adequadamente os gases corrosivos. Exposições breves, mas irritantes, a compostos de flúor que estão abaixo do limite de detecção para procedimentos típicos de monitoramento de higiene industrial foram relatadas quando as portas desses gravadores são abertas. Normalmente, isso pode ser corrigido simplesmente aumentando a duração do ciclo de purga antes de abrir a porta da câmara de corrosão.

A principal preocupação com a exposição do operador à energia de RF ocorre durante a gravação e incineração do plasma (Cohen 1986; Jones 1988). Normalmente, o vazamento de energia de RF pode ser causado por:

  • portas desalinhadas
  • rachaduras e buracos nos armários
  • mesas de metal e cabos elétricos atuando como antenas devido ao aterramento inadequado do gravador
  • nenhuma tela atenuante na janela de visualização do gravador (Jones 1988; Horowitz 1992).

 

A exposição à RF também pode ocorrer durante a manutenção dos gravadores, principalmente se o gabinete do equipamento tiver sido removido. Uma exposição de 12.9 mW/cm2 foi encontrado no topo de um gravador de plasma de modelo mais antigo com a tampa removida para manutenção (Horowitz 1992). O vazamento real de radiação de RF na área onde o operador fica foi tipicamente inferior a 4.9 mW/cm2.

doping

A formação de uma junção elétrica ou limite entre p e n regiões em um único wafer de silício cristalino é o elemento essencial para o funcionamento de todos os dispositivos semicondutores. As junções permitem que a corrente flua em uma direção com muito mais facilidade do que na outra. Eles fornecem a base para efeitos de diodo e transistor em todos os semicondutores. Em um circuito integrado, um número controlado de impurezas elementares ou dopantes deve ser introduzido em regiões gravadas selecionadas do substrato de silício, ou wafer. Isso pode ser feito por técnicas de difusão ou implantação iônica. Independentemente da técnica utilizada, os mesmos tipos ou dopantes são utilizados para a produção de junções semicondutoras. A Tabela 5 identifica os principais componentes utilizados para dopagem, seu estado físico, tipo elétrico (p or n) e a técnica de junção primária em uso — difusão ou implantação iônica.

Tabela 5. Dopantes de formação de junções para difusão e implantação de íons

Element

Compound

Fórmula

Estado

Técnica

tipo n

Antimônio

Trióxido de antimônio
Tricloreto de antimônio

Sb2O3
SbCl3

Sólido
Líquido

Distribuição
Distribuição

Arsênico

Trióxido de arsênico
Trióxido de arsênico
Arsino
pentafluoreto de arsênico

As2O3
As2O3
Cinza3
ASF5

Sólido
Líquido
Gas
Gas

Distribuição
Difusão - girar
Difusão e implantação iônica
Implantação iónica

Fósforo

Pentóxido de fósforo
Pentóxido de fósforo
Tribrometo de fósforo
Tricloreto de fósforo
Oxicloreto de fósforo
Fosfina
pentafluoreto de fósforo

P2O5
P2O5
PBr3
PCl3
POCl3
PH3
PF5

Sólido
Líquido
Líquido
Líquido
Líquido
Gas
Gas

Distribuição
Difusão - girar
Distribuição
Distribuição
Distribuição
Implantação iónica
Implantação iónica

p-type

Boro

Nitreto de boro
Tribrometo de boro
trióxido de boro
trióxido de boro
Trietilborato
tetrabrometo de silício
Tricloreto de boro
Trifluoreto de boro
Diborano

BN
BBr3
B2O3
B2O3
B(COC2H5)3
SiBr4
BCl3
BF3
B2H6

Sólido
Líquido
Sólido
Líquido
Líquido
Líquido
Líquido
Gas
Gas

Distribuição
Distribuição
Distribuição
Difusão - girar
Difusão - girar
Distribuição
Implantação de íons de difusão
Implantação iónica
Implantação iónica

 

As exposições químicas de rotina para operadores de fornos de difusão e implantadores de íons são baixas - normalmente menos que o limite de detecção dos procedimentos padrão de amostragem de higiene ocupacional. As preocupações químicas com o processo se concentram na possibilidade de liberação de gases tóxicos.

Já na década de 1970, os fabricantes de semicondutores progressivos começaram a instalar os primeiros sistemas de monitoramento contínuo de gases inflamáveis ​​e tóxicos. O foco principal desse monitoramento foi detectar liberações acidentais dos gases dopantes mais tóxicos com limiares de odor acima de seus limites de exposição ocupacional (por exemplo, arsina e diborano).

A maioria dos monitores de ar de higiene industrial na indústria de semicondutores é usada para detecção de vazamento de gás tóxico e inflamável. No entanto, algumas instalações também estão usando sistemas de monitoramento contínuo para:

  • analisar as emissões do duto de exaustão (chaminé)
  • quantificar as concentrações de produtos químicos voláteis no ar ambiente
  • identificar e quantificar odores nas áreas fab.

 

As tecnologias mais utilizadas na indústria de semicondutores para este tipo de monitoramento são detecção colorimétrica de gás (por exemplo, detector de gás contínuo MDA), sensores eletroquímicos (por exemplo, monitores Sensydyne) e infravermelho por transformada de Fourier (por exemplo, Telos ACM) (Baldwin e Williams 1996). .

Distribuição

Distribuição é um termo usado para descrever o movimento de dopantes de regiões de alta concentração na extremidade da fonte do forno de difusão para regiões de menor concentração dentro do wafer de silício. A difusão é o método mais estabelecido de formação de junções.

Esta técnica envolve submeter um wafer a uma atmosfera aquecida dentro do forno de difusão. A fornalha contém os dopantes desejados na forma de vapor e resulta na criação de regiões de atividade elétrica dopada, seja p or n. Os dopantes mais comumente usados ​​são boro para o tipo p; e fósforo (P), arsênico (As) ou antimônio (Sb) para o tipo n (ver tabela 5).

Normalmente, os wafers são empilhados em um transportador ou barco de quartzo e colocados no forno de difusão. O forno de difusão contém um longo tubo de quartzo e um mecanismo para controle preciso da temperatura. O controle da temperatura é extremamente importante, pois as taxas de difusão dos vários dopantes de silício são principalmente uma função da temperatura. As temperaturas em uso variam de 900 a 1,300 oC, dependendo do dopante específico e do processo.

O aquecimento do wafer de silício a uma temperatura elevada permite que os átomos de impurezas se difundam lentamente através da estrutura do cristal. As impurezas se movem mais lentamente através do dióxido de silício do que através do próprio silício, permitindo que o óxido fino padrão para servir como uma máscara e, assim, permitir que o dopante entre no silício apenas onde estiver desprotegido. Após o acúmulo de impurezas suficientes, os wafers são removidos do forno e a difusão efetivamente cessa.

Para controle máximo, a maioria das difusões é realizada em duas etapas—predeposição e conduzir em. O pré-depósito, ou difusão com fonte constante, é a primeira etapa e ocorre em um forno no qual a temperatura é selecionada para obter o melhor controle das quantidades de impurezas. A temperatura determina a solubilidade do dopante. Após um tratamento de pré-depósito comparativamente curto, o wafer é movido fisicamente para um segundo forno, geralmente a uma temperatura mais alta, onde um segundo tratamento térmico leva o dopante à profundidade desejada de difusão na rede do wafer de silício.

As fontes dopantes utilizadas na etapa de pré-depósito estão em três estados químicos distintos: gás, líquido e sólido. A Tabela 5 identifica os vários tipos de dopantes da fonte de difusão e seus estados físicos.

Os gases são geralmente fornecidos a partir de cilindros de gás comprimido com controles ou reguladores de pressão, válvulas de fechamento e vários acessórios de purga e são dispensados ​​através de tubos de metal de pequeno diâmetro.

Os líquidos são dispensados ​​normalmente de borbulhadores, que saturam uma corrente de gás de arraste, geralmente nitrogênio, com os vapores líquidos dopantes, conforme descrito na seção sobre oxidação úmida. Outra forma de distribuição de líquidos é através do uso do girar dopante aparelho. Isso envolve colocar um dopante sólido em solução com um veículo solvente líquido, depois pingar a solução no wafer e girar, de maneira semelhante à aplicação de fotorresistentes.

As fontes sólidas podem ter a forma de um wafer de nitreto de boro, que é colocado entre dois wafers de silício para ser dopado e depois colocado em um forno de difusão. Além disso, os dopantes sólidos, em forma de pó ou grânulos, podem ser colocados em um bomba de quartzo invólucro (trióxido de arsênico), despejado manualmente na extremidade da fonte de um tubo de difusão ou carregado em um forno de fonte separado em linha com o forno de difusão principal.

Na ausência de controles adequados, exposições ao arsênico acima de 0.01 mg/m3 foram relatados durante a limpeza de um forno de deposição (Wade et al. 1981) e durante a limpeza de câmaras de alojamento de fonte para implantadores de íons de fonte sólida (McCarthy 1985; Baldwin, King e Scarpace 1988). Essas exposições ocorreram quando nenhuma precaução foi tomada para limitar a quantidade de poeira no ar. No entanto, quando os resíduos foram mantidos úmidos durante a limpeza, as exposições foram reduzidas para muito abaixo do limite de exposição no ar.

Nas tecnologias de difusão mais antigas, existem riscos de segurança durante a remoção, limpeza e instalação dos tubos do forno. Os perigos incluem possíveis cortes de peças quebradas de quartzo e queimaduras de ácido durante a limpeza manual. Em tecnologias mais novas, esses perigos são reduzidos por no local limpeza do tubo que elimina grande parte do manuseio manual.

Os operadores do forno de difusão experimentam a maior exposição de rotina em salas limpas a campos eletromagnéticos de frequência extremamente baixa (por exemplo, 50 a 60 hertz) na fabricação de semicondutores. Exposições médias superiores a 0.5 microteslas (5 miligass) foram relatadas durante a operação real dos fornos (Crawford et al. 1993). Este estudo também observou que o pessoal de sala limpa que trabalha nas proximidades de fornos de difusão teve exposições médias medidas notavelmente mais altas do que as de outros trabalhadores de sala limpa. Essa descoberta foi consistente com as medições pontuais relatadas por Rosenthal e Abdollahzadeh (1991), que descobriram que os fornos de difusão produziam leituras de proximidade (5 cm ou 2 polegadas de distância) de até 10 a 15 microteslas, com os campos circundantes caindo mais gradualmente com a distância do que outros equipamentos de sala limpa estudados; mesmo a 6 pés de distância dos fornos de difusão, as densidades de fluxo relatadas foram de 1.2 a 2 microteslas (Crawford et al. 1993). Esses níveis de emissão estão bem abaixo dos atuais limites de exposição baseados na saúde estabelecidos pela Organização Mundial da Saúde e aqueles estabelecidos por países individuais.

Implantação iónica

A implantação de íons é o método mais recente de introduzir impurezas à temperatura ambiente em pastilhas de silício para a formação de junções. Átomos dopantes ionizados (ou seja, átomos despojados de um ou mais de seus elétrons) são acelerados a uma alta energia passando-os por uma diferença de potencial de dezenas de milhares de volts. Ao final de seu trajeto, eles atingem a bolacha e são inseridos em várias profundidades, dependendo de sua massa e energia. Como na difusão convencional, uma camada de óxido padronizada ou um padrão fotorresistente mascara seletivamente o wafer dos íons.

Um sistema típico de implantação de íons consiste em uma fonte de íons (fonte de dopante gasoso, geralmente em pequenos frascos de leitura), equipamento de análise, acelerador, lente de foco, armadilha de feixe neutro, câmara de processo do scanner e um sistema de vácuo (normalmente três conjuntos separados de bombas de desbaste e de difusão de óleo). O fluxo de elétrons é gerado a partir de um filamento quente por resistência, uma descarga de arco ou feixe de elétrons de cátodo frio.

Geralmente, depois que os wafers são implantados, uma etapa de recozimento de alta temperatura (900 a 1,000°C) é realizada por um recozimento de feixe de laser ou recozimento pulsado com uma fonte de feixe de elétrons. O processo de recozimento ajuda a reparar os danos na superfície externa do wafer implantado causados ​​pelo bombardeio de íons dopantes.

Com o advento de um sistema de entrega seguro para cilindros de gás trifluoreto de arsina, fosfina e boro usados ​​em implantadores de íons, o potencial de liberação catastrófica desses gases foi bastante reduzido. Esses pequenos cilindros de gás são preenchidos com um composto ao qual são adsorvidos o trifluoreto de arsina, fosfina e boro. Os gases são puxados para fora dos cilindros por meio de um vácuo.

Implantadores de íons são um dos riscos elétricos mais significativos na indústria de semicondutores. Mesmo depois de desligada a energia, existe um potencial de choque significativo dentro da ferramenta e deve ser dissipado antes de trabalhar dentro do implantador. Uma revisão cuidadosa das operações de manutenção e dos riscos elétricos é garantida para todos os equipamentos recém-instalados, mas especialmente para implantadores de íons.

Exposições a hidretos (provavelmente uma mistura de arsina e fosfina) de até 60 ppb foram encontradas durante a manutenção da criobomba do implantador de íons (Baldwin, Rubin e Horowitz 1993). Além disso, altas concentrações de arsina e fosfina podem liberar gases de partes contaminadas do implantador que são removidas durante a manutenção preventiva (Flipp, Hunsaker e Herring 1992).

Aspiradores portáteis com filtros atenuadores de partículas de alta eficiência (HEPA) são usados ​​para limpar superfícies de trabalho contaminadas com arsênico em áreas de implantação de íons. Exposições acima de 1,000 μg/m3 foram medidos quando aspiradores HEPA foram inadequadamente limpos. Os aspiradores HEPA, quando descarregados para o espaço de trabalho, também podem distribuir com eficiência o odor característico de hidreto associado à limpeza da linha de feixe do implantador de íons (Baldwin, Rubin e Horowitz 1993).

Embora seja uma preocupação, não há relatos publicados de exposições significativas a gases dopantes durante as trocas de óleo de bombas de vácuo usadas com dopantes - possivelmente porque isso geralmente é feito como um sistema fechado. A falta de exposição relatada também pode ser resultado de baixos níveis de desgaseificação de hidretos do óleo usado.

O resultado de um estudo de campo em que 700 ml de óleo de bomba de desbaste usado de um implantador de íons que usava arsina e fosfina foi aquecido apenas mostrou concentrações detectáveis ​​de hidretos no ar no espaço da cabeça da bomba quando o óleo da bomba excedeu 70oC (Baldwin, King e Scarpace 1988). Como as temperaturas operacionais normais para bombas mecânicas de desbaste são de 60 a 80oC, este estudo não indicou o potencial para uma exposição significativa.

Durante a implantação iônica, os raios x são formados incidentalmente à operação. A maioria dos implantadores são projetados com blindagem de gabinete suficiente (que inclui folhas de chumbo estrategicamente colocadas ao redor do invólucro da fonte de íons e portas de acesso adjacentes) para manter a exposição do funcionário abaixo de 2.5 microsieverts (0.25 milirems) por hora (Maletskos e Hanley 1983). No entanto, um modelo mais antigo de implantadores apresentou vazamento de raios X acima de 20 microsieverts por hora (μSv/hr) na superfície da unidade (Baldwin, King e Scarpace 1988). Esses níveis foram reduzidos para menos de 2.5 μSv/hr após a instalação de blindagem de chumbo adicional. Verificou-se que outro modelo mais antigo de implantador de íons apresentava vazamento de raios-x ao redor de uma porta de acesso (até 15 μSv/h) e em uma janela de visualização (até 3 μSv/h). Blindagem de chumbo adicional foi adicionada para atenuar possíveis exposições (Baldwin, Rubin e Horowitz 1993).

Além de exposições de raios-x de implantadores de íons, a possibilidade de formação de nêutrons foi postulada se o implantador for operado acima de 8 milhões de elétron-volts (MeV) ou gás deutério for usado como fonte de íons (Rogers 1994). No entanto, normalmente os implantadores são projetados para operar bem abaixo de 8 MeV, e o deutério não é comumente usado na indústria (Baldwin e Williams, 1996).

Deposição de vapor químico

A deposição de vapor químico (CVD) envolve a camada de material adicional na superfície do wafer de silício. As unidades CVD normalmente operam como um sistema fechado, resultando em pouca ou nenhuma exposição química para os operadores. No entanto, uma breve exposição ao cloreto de hidrogênio acima de 5 ppm pode ocorrer quando certos pré-lavadores CVD são limpos (Baldwin e Stewart 1989). Duas amplas categorias de depósito são de uso comum - epitaxial e a categoria mais geral de DCV não epitaxial.

Deposição de vapor químico epitaxial

O crescimento epitaxial é a deposição rigidamente controlada de um filme fino de cristal único de um material que mantém a mesma estrutura cristalina que a camada de wafer do substrato existente. Ele serve como uma matriz para a fabricação de componentes semicondutores em processos de difusão subseqüentes. A maioria dos filmes epitaxiais é cultivada em substratos do mesmo material, como silício sobre silício, em um processo conhecido como homoepitaxia. O crescimento de camadas de diferentes materiais em um substrato, como silício em safira, é chamado de processamento de dispositivo de heteroepitaxia IC.

Três técnicas primárias são usadas para crescer camadas epitaxiais: fase de vapor, fase líquida e feixe molecular. A fase líquida e a epitaxia de feixe molecular são usadas principalmente no processamento de dispositivos III-V (por exemplo, GaAs). Estes são discutidos no artigo “Fabricação de semicondutores III-V”.

A epitaxia em fase de vapor é usada para crescer um filme pelo CVD de moléculas a uma temperatura de 900 a 1,300oC. Vapores contendo silício e quantidades controladas de dopantes do tipo p ou n em um gás de arraste (geralmente hidrogênio) são passados ​​sobre pastilhas aquecidas para depositar camadas dopadas de silício. O processo é geralmente realizado à pressão atmosférica.

A Tabela 6 identifica os quatro principais tipos de epitaxia em fase de vapor, parâmetros e reações químicas que ocorrem.

Tabela 6. Principais categorias de epitaxia de fase de vapor de silício

parâmetros

Pressão

Atmosférico

Temperatura

900 – 1300 ° C

fontes de silício

Silano (SiH4), tetracloreto de silício (SiCl4), triclorossilano (SiHCl3),
e diclorossilano (SiH2Cl2)

gases dopantes

Arsina (AsH3), fosfina (PH3), diborano (B2H6)

Concentração de gás dopante

≈100 ppm

gás de corrosão

Cloreto de hidrogênio (HCl)

Concentração de gás de corrosão

≈1–4%

gases de arraste

Hidrogênio (H2), nitrogênio (N2)

Fonte de aquecimento

Radiofrequência (RF) ou infravermelho (IR)

Tipos de epitaxia em fase de vapor

Reações químicas

Redução de hidrogênio de tetracloreto de silício
(1,150-1,300 ° C)

SiCl4 + 2H2 → Si + 4HCl

Decomposição pirolítica do silano
(1,000-1,100 ° C)

SiH4 → Si + 2H2

Redução de hidrogênio de triclorosilano

SiHCl3 + H2 → Si + 3HCl

Redução de diclorossilano

SiH2Cl2 → Si + 2HCl

 

A sequência de deposição normalmente seguida em um processo epitaxial envolve:

  • limpeza de substrato— lavagem física, desengorduramento com solvente, limpeza com ácido (sulfúrico, nítrico e clorídrico, e fluorídrico é uma sequência comum) e operação de secagem
  • carregamento de wafer
  • aquecer— purga de nitrogênio e aquecimento a aproximadamente 500 °C, então o gás hidrogênio é usado e os geradores de RF aquecem indutivamente os wafers
  • ácido clorídrico (HCl)—geralmente 1 a 4% de concentração de HCl é dispensado para a câmara do reator
  • deposição—fonte de silício e gases dopantes são medidos e depositados na superfície do wafer
  • esfriar-gás hidrogênio trocado para nitrogênio novamente a 500°C
  • descarga.

 

Deposição de vapor químico não epitaxial

Enquanto o crescimento epitaxial é uma forma altamente específica de CVD, onde a camada depositada tem a mesma orientação da estrutura cristalina que a camada de substrato, o CVD não epitaxial é a formação de um composto estável em um substrato aquecido pela reação térmica ou decomposição de compostos gasosos.

O CVD pode ser usado para depositar muitos materiais, mas no processamento de semicondutores de silício os materiais geralmente encontrados, além do silício epitaxial, são:

  • silício policristalino (poli Si)
  • dióxido de silício (SiO2—dopados e não dopados; vidro dopado p)
  • nitreto de silício (Si3N4).

 

Cada um desses materiais pode ser depositado de várias maneiras e cada um tem muitas aplicações.

A Tabela 7 identifica as três principais categorias de DCV usando a temperatura operacional como mecanismo de diferenciação.

Tabela 7. Principais categorias de deposição química de vapor de silício (CVD)

parâmetros

Pressão

Atmosférico (APCVD) ou de baixa pressão (LPCVD)

Temperatura

500 – 1,100 ° C

Fontes de silício e nitreto

Silano (SiH4), tetracloreto de silício (SiCl4), amônia (NH3), óxido nitroso (N20)

Fontes de dopantes

Arsina (AsH3), fosfina (PH3), diborano (B2H6)

gases de arraste

Nitrogênio (N2), hidrogênio (H2)

Fonte de aquecimento

Sistema de parede fria - frequência de rádio (RF) ou infravermelho (IR)
Sistema de parede quente - resistência térmica

tipo de CVD

Reação

Gás portador

Temperatura

Temperatura média (≈ 600–1,100 °C)

Nitreto de silício (Si3N4)

3SiH4 + 4NH3 → Si3N4 + 12H2

H2

900 – 1,100 ° C

Polisilício (poli Si)

SiH4 + Calor → Si + 2H2

H2
N2

850 – 1,000 ° C
600 – 700 ° C

Dióxido de silício (SiO2)

SiH4 + 4CO2 → SiO2 + 4CO + 2H2O
2H2 + SiCl4 + CO2 → SiO2 + 4HCl*
SiH4 + CO → SiO2 + 2H2 *

N2
H2
H2

500 – 900 ° C
800 – 1,000 ° C
600 – 900 ° C

Baixa temperatura (≈<600 C) Silox, Pyrox, Vapox e Nitrox**

Dióxido de silício (SiO2) ou SiO dopado com p2

     

silox

SiH4 + 2O2 + Dopante → SiO2 + 2H2O

N2

200-500 ° C

pirox

SiH4 + 2O2 + Dopante → SiO2 + 2H2O

N2

<600 ° C

Vaporizador

SiH4 + 2O2 + Dopante → SiO2 + 2H2O

N2

<600 ° C

Nitreto de silício (Si3N4)

     

Nitrox

3SiH4 + 4NH3 (ou N2O*) → Si3N4 + 12H2

N2

600 – 700 ° C

Plasma de baixa temperatura aprimorado (passivação) (<600°C)

Utilizando radiofrequência (RF) ou
pulverização reativa

     

Dióxido de silício (SiO2)

SiH4 + 2O2 → SiO2 + 2H20

   

Nitreto de silício (Si3N4)

3SiH4 + 4NH3 (ou N2O*) → Si3N4 + 12H2

   

* Nota: As reações não são balanceadas estequiometricamente.

**Nomes genéricos, proprietários ou marcas registradas para sistemas de reatores CVD

 

Os seguintes componentes são encontrados em quase todos os tipos de equipamentos CVD:

  • câmara de reação
  • seção de controle de gás
  • controle de tempo e sequência
  • fonte de calor para substratos
  • tratamento de efluentes.

 

Basicamente, o processo CVD envolve o fornecimento de quantidades controladas de gases fonte de silício ou nitreto, em conjunto com gases de arraste de nitrogênio e/ou hidrogênio, e um gás dopante, se desejado, para reação química dentro da câmara do reator. O calor é aplicado para fornecer a energia necessária para a reação química, além de controlar as temperaturas da superfície do reator e dos wafers. Após a conclusão da reação, o gás de origem não reagido mais o gás de arraste são esgotados através do sistema de tratamento de efluentes e liberados para a atmosfera.

A passivação é um tipo funcional de DCV. Envolve o crescimento de uma camada protetora de óxido na superfície do wafer de silício, geralmente como a última etapa de fabricação antes do processamento de não fabricação. A camada fornece estabilidade elétrica isolando a superfície do circuito integrado das condições elétricas e químicas do ambiente.

Metalização

Após os dispositivos terem sido fabricados no substrato de silício, eles devem ser conectados entre si para realizar as funções do circuito. Este processo é conhecido como metalização. A metalização fornece um meio de fiação ou interconexão das camadas superiores de circuitos integrados, depositando padrões complexos de materiais condutores, que direcionam a energia elétrica dentro dos circuitos.

O amplo processo de metalização é diferenciado de acordo com o tamanho e a espessura das camadas de metais e outros materiais a serem depositados. Esses são:

  • filme fino-espessura aproximada do filme de um mícron ou menos
  • filme espesso—espessura aproximada do filme de 10 mícrons ou mais
  • galvanização—espessuras de filme variam de fino a grosso, mas geralmente filmes grossos.

 

Os metais mais comuns usados ​​para a metalização de semicondutores de silício são: alumínio, níquel, cromo ou uma liga chamada nicromo, ouro, germânio, cobre, prata, titânio, tungstênio, platina e tântalo.

Filmes finos ou espessos também podem ser evaporados ou depositados em vários substratos de cerâmica ou vidro. Alguns exemplos desses substratos são: alumina (96% Al203), berílio (99% BeO), vidro borosilicato, piroceram e quartzo (SiO2).

Filme fino

A metalização de filme fino é frequentemente aplicada através do uso de uma técnica de deposição ou evaporação em alto vácuo ou vácuo parcial. Os principais tipos de evaporação de alto vácuo são feixe de elétrons, flash e resistivo, enquanto a deposição de vácuo parcial é feita principalmente por pulverização catódica.

Para realizar qualquer tipo de metalização a vácuo de filme fino, um sistema geralmente consiste nos seguintes componentes básicos:

  • uma câmara que pode ser evacuada para fornecer um vácuo suficiente para deposição
  • uma bomba de vácuo (ou bombas) para reduzir os gases ambientais na câmara
  • instrumentação para monitorar o nível de vácuo e outros parâmetros
  • um método de depositar ou evaporar as camadas de material de metalização.

 

Evaporação por feixe de elétrons, freqüentemente chamado feixe E, usa um feixe focalizado de elétrons para aquecer o material de metalização. Um feixe de elétrons de alta intensidade é gerado de maneira semelhante à usada em um tubo de imagem de televisão. Um fluxo de elétrons é acelerado através de um campo elétrico de tipicamente 5 a 10 kV e focado no material a ser evaporado. O feixe focalizado de elétrons derrete o material contido em um bloco refrigerado a água com uma grande depressão chamada lareira. O material derretido então vaporiza dentro da câmara de vácuo e condensa nas bolachas resfriadas, bem como em toda a superfície da câmara. Em seguida, as operações padrão de fotorresistência, exposição, revelação e corrosão úmida ou seca são executadas para delinear o intrincado circuito metalizado.

Evaporação instantânea é outra técnica para a deposição de filmes finos metalizados. Este método é usado principalmente quando uma mistura de dois materiais (ligas) deve ser evaporada simultaneamente. Alguns exemplos de filmes de dois componentes são: níquel/cromo (Nicromo), cromo/monóxido de silício (SiO) e alumínio/silício.

Na evaporação instantânea, uma barra de cerâmica é aquecida por resistência térmica e um carretel de arame alimentado continuamente, fluxo de pastilhas ou pó dispensado vibracionalmente é colocado em contato com o filamento ou barra quente. Os metais vaporizados então revestem a câmara interna e as superfícies do wafer.

Evaporação resistiva (também conhecida como evaporação de filamentos) é a forma mais simples e menos dispendiosa de deposição. A evaporação é realizada aumentando gradualmente a corrente que flui através do filamento para primeiro derreter as alças do material a ser evaporado, molhando assim o filamento. Uma vez que o filamento é molhado, a corrente através do filamento é aumentada até que ocorra a evaporação. A principal vantagem da evaporação resistiva é a grande variedade de materiais que podem ser evaporados.

Às vezes, o trabalho de manutenção é feito na superfície interna das câmaras de deposição do evaporador E-beam chamadas de redomas. Quando os técnicos de manutenção estão com a cabeça dentro das redomas, podem ocorrer exposições significativas. A remoção dos resíduos metálicos que se depositam na superfície interna das redomas pode resultar em tais exposições. Por exemplo, exposições técnicas muito acima do limite de exposição aérea para prata foram medidas durante a remoção de resíduos de um evaporador usado para depositar prata (Baldwin e Stewart 1989).

A limpeza de resíduos de redomas de vidro com solventes de limpeza orgânicos também pode resultar em alta exposição a solventes. Exposições de técnicos a metanol acima de 250 ppm ocorreram durante esse tipo de limpeza. Essa exposição pode ser eliminada usando água como solvente de limpeza em vez de metanol (Baldwin e Stewart 1989).

A deposição pulverizada O processo ocorre em uma atmosfera de gás de baixa pressão ou vácuo parcial, usando corrente elétrica direta (CC ou pulverização catódica) ou tensões de RF como fonte de alta energia. No sputtering, íons de gás inerte de argônio são introduzidos em uma câmara de vácuo após um nível de vácuo satisfatório ter sido alcançado por meio do uso de uma bomba de desbaste. Um campo elétrico é formado pela aplicação de uma alta voltagem, tipicamente 5,000 V, entre duas placas com cargas opostas. Essa descarga de alta energia ioniza os átomos do gás argônio e faz com que eles se movam e acelerem para uma das placas da câmara chamada de alvo. Quando os íons de argônio atingem o alvo feito do material a ser depositado, eles desalojam ou pulverizam esses átomos ou moléculas. Os átomos desalojados do material de metalização são então depositados em um filme fino sobre os substratos de silício voltados para o alvo.

Verificou-se que o vazamento de RF pelas laterais e por trás de muitas unidades de sputter mais antigas excedeu o limite de exposição ocupacional (Baldwin e Stewart 1989). A maior parte do vazamento foi atribuída a rachaduras nos gabinetes causadas pela remoção repetida dos painéis de manutenção. Em modelos mais novos do mesmo fabricante, os painéis com tela de arame ao longo das costuras evitam vazamentos significativos. Os pulverizadores mais antigos podem ser adaptados com malha de arame ou, alternativamente, fita de cobre pode ser usada para cobrir as costuras para reduzir o vazamento.

Filme espesso

A estrutura e dimensão da maioria dos filmes espessos não são compatíveis com a metalização de circuitos integrados de silício, principalmente devido a restrições de tamanho. Filmes espessos são usados ​​principalmente para metalização de estruturas eletrônicas híbridas, como na fabricação de LCDs.

O processo de serigrafia é o método dominante de aplicação de filmes espessos. Os materiais de filme espesso tipicamente usados ​​são paládio, prata, dióxido de titânio e vidro, ouro-platina e vidro, ouro-vidro e prata-vidro.

Filmes espessos resistivos são normalmente depositados e modelados em um substrato cerâmico usando técnicas de serigrafia. cermet é uma forma de filme espesso resistivo composto por uma suspensão de partículas metálicas condutoras em uma matriz cerâmica com uma resina orgânica como carga. Estruturas típicas de cermet são compostas de cromo, prata ou óxido de chumbo em uma matriz de dióxido ou monóxido de silício.

Galvanização

Dois tipos básicos de técnicas de revestimento são usados ​​na formação de filmes metálicos em substratos semicondutores: galvanoplastia e galvanoplastia.

In galvanização, o substrato a ser revestido é colocado no cátodo, ou terminal carregado negativamente, do tanque de revestimento e imerso em uma solução eletrolítica. Um eletrodo feito do metal a ser revestido serve como ânodo, ou terminal carregado positivamente. Quando uma corrente direta é passada através da solução, os íons metálicos carregados positivamente, que se dissolvem na solução a partir do ânodo, migram e se acumulam no cátodo (substrato). Este método de revestimento é usado para formar filmes condutores de ouro ou cobre.

In galvanização elétrica, a redução e oxidação simultâneas do metal a ser revestido são usadas na formação de um átomo ou molécula de metal livre. Como esse método não requer condução elétrica durante o processo de revestimento, ele pode ser usado com substratos do tipo isolante. Níquel, cobre e ouro são os metais mais comuns depositados dessa maneira.

Liga/recozimento

Após as interconexões metalizadas terem sido depositadas e atacadas, uma etapa final de liga e recozimento pode ser realizada. A liga consiste em colocar os substratos metalizados, geralmente com alumínio, em um forno de difusão a baixa temperatura para assegurar um contato de baixa resistência entre o alumínio metálico e o substrato de silício. Finalmente, durante a etapa de liga ou diretamente após ela, os wafers são frequentemente expostos a uma mistura de gás contendo hidrogênio em um forno de difusão de 400 a 500°C. A etapa de recozimento é projetada para otimizar e estabilizar as características do dispositivo combinando o hidrogênio com átomos não comprometidos na interface silício-dióxido de silício ou próximo a ela.

Backlapping e metalização do verso

Há também uma etapa opcional de processamento de metalização chamada backlapping. A parte de trás do wafer pode ser lapidada ou retificada usando uma solução abrasiva úmida e pressão. Um metal como o ouro pode ser depositado na parte de trás do wafer por pulverização catódica. Isso facilita a fixação da matriz separada na embalagem na montagem final.

Montagem e teste

O processamento de não fabricação, que inclui embalagem externa, acessórios, encapsulamento, montagem e teste, normalmente é realizado em instalações de produção separadas e muitas vezes é feito em países do Sudeste Asiático, onde esses trabalhos intensivos em mão de obra são mais baratos de executar. Além disso, os requisitos de ventilação para o processo e controle de partículas são geralmente diferentes (sem sala limpa) nas áreas de processamento de não fabricação. Essas etapas finais no processo de fabricação envolvem operações que incluem soldagem, desengorduramento, testes com produtos químicos e fontes de radiação e corte e marcação com lasers.

A soldagem durante a fabricação de semicondutores normalmente não resulta em altas exposições ao chumbo. Para evitar danos térmicos ao circuito integrado, a temperatura da solda é mantida abaixo da temperatura em que pode ocorrer uma formação significativa de fumaça de chumbo derretido (430°C). No entanto, a limpeza do equipamento de solda raspando ou escovando os resíduos contendo chumbo pode resultar em exposições de chumbo acima de 50 μg/m3 (Baldwin e Stewart 1989). Além disso, exposições ao chumbo de 200 μg/m3 ocorreram quando técnicas impróprias de remoção de escória são usadas durante operações de solda por onda (Baldwin e Williams 1996).

Uma preocupação crescente com as operações de solda é a irritação respiratória e asma devido à exposição aos produtos de pirólise dos fluxos de solda, particularmente durante a soldagem manual ou operações de retoque, onde historicamente a ventilação de exaustão local não tem sido comumente usada (ao contrário das operações de solda por onda, que nas últimas décadas têm sido normalmente colocados em armários esgotados) (Goh e Ng 1987). Consulte o artigo “Placa de circuito impresso e montagem do computador” para mais detalhes.

Como a colofonia no fluxo de solda é um sensibilizador, todas as exposições devem ser reduzidas ao mínimo possível, independentemente dos resultados da amostragem de ar. As novas instalações de soldagem devem incluir ventilação de exaustão local quando a soldagem for executada por longos períodos de tempo (por exemplo, mais de 2 horas).

Os vapores da solda manual sobem verticalmente em correntes térmicas, entrando na zona de respiração do funcionário conforme a pessoa se inclina sobre o ponto de solda. O controle geralmente é obtido por meio de ventilação de exaustão local de alta velocidade e baixo volume na ponta da solda.

Dispositivos que retornam ar filtrado para o local de trabalho podem, se a eficiência da filtragem for inadequada, causar poluição secundária que pode afetar outras pessoas no local de trabalho além das que estão soldando. O ar filtrado não deve retornar à sala de trabalho, a menos que a quantidade de solda seja pequena e a sala tenha uma boa ventilação geral de diluição.

Classificação e teste de wafer

Depois que a fabricação do wafer é concluída, cada wafer intrinsecamente acabado passa por um processo de classificação de wafer, no qual os circuitos integrados em cada matriz específica são testados eletricamente com sondas controladas por computador. Um wafer individual pode conter de cem a muitas centenas de matrizes ou chips separados que devem ser testados. Depois que os resultados do teste são concluídos, as matrizes são marcadas fisicamente com uma resina epóxi de um componente dispensada automaticamente. Vermelho e azul são usados ​​para identificar e classificar matrizes que não atendem às especificações elétricas desejadas.

Separação de matriz

Com os dispositivos ou circuitos no wafer testados, marcados e classificados, as matrizes individuais no wafer devem ser separadas fisicamente. Vários métodos foram projetados para separar as matrizes individuais - gravação de diamante, gravação a laser e serragem de disco diamantado.

A gravação de diamante é o método mais antigo em uso e envolve o desenho de uma ponta embutida de diamante de formato preciso na bolacha ao longo da linha de gravação ou “rua” que separa as matrizes individuais na superfície da bolacha. A imperfeição na estrutura cristalina causada pela riscagem permite que o wafer seja dobrado e fraturado ao longo desta linha.

A gravação a laser é uma técnica relativamente recente de separação de moldes. Um feixe de laser é gerado por um laser de neodímio-ítrio pulsado de alta potência. O feixe gera um sulco na bolacha de silício ao longo das linhas riscadas. A ranhura serve como a linha ao longo da qual o wafer se quebra.

Um método amplamente utilizado de separação de moldes é a serragem úmida - corte de substratos ao longo da rua com uma serra diamantada circular de alta velocidade. A serragem pode cortar parcialmente (escrever) ou cortar completamente (cortar) através do substrato de silício. Uma pasta úmida de material removido da rua é gerada pela serragem.

Die anexar e colagem

A matriz ou chip individual deve ser anexado a uma embalagem transportadora e a uma estrutura de chumbo de metal. Os portadores são normalmente feitos de um material isolante, seja de cerâmica ou de plástico. Materiais de suporte cerâmicos são geralmente feitos de alumina (Al2O3), mas possivelmente pode consistir em berílio (BeO) ou esteatito (MgO-SiO2). Os materiais de suporte de plástico são do tipo resina termoplástica ou termoendurecível.

A fixação da matriz individual é geralmente realizada por um dos três tipos distintos de fixação: eutética, pré-forma e epóxi. A fixação da matriz eutética envolve o uso de uma liga de brasagem eutética, como ouro-silício. Neste método, uma camada de metal dourado é pré-depositada na parte de trás da matriz. Ao aquecer a embalagem acima da temperatura eutética (370°C para ouro-silício) e colocar a matriz sobre ela, forma-se uma ligação entre a matriz e a embalagem.

A colagem de pré-formas envolve o uso de um pequeno pedaço de material de composição especial que aderirá tanto à matriz quanto à embalagem. Uma pré-forma é colocada na área de fixação da matriz de uma embalagem e permite que ela derreta. A matriz é então esfregada em toda a região até que a matriz seja fixada e, em seguida, a embalagem é resfriada.

A colagem de epóxi envolve o uso de uma cola epóxi para prender a matriz à embalagem. Uma gota de epóxi é dispensada na embalagem e o molde colocado sobre ela. A embalagem pode precisar ser assada a uma temperatura elevada para curar o epóxi adequadamente.

Uma vez que a matriz esteja fisicamente conectada ao pacote, as conexões elétricas devem ser fornecidas entre o circuito integrado e os condutores do pacote. Isso é feito usando termocompressão, técnicas de colagem ultrassônicas ou termossônicas para conectar fios de ouro ou alumínio entre as áreas de contato no chip de silício e os terminais da embalagem.

A colagem por termocompressão é frequentemente usada com fio de ouro e envolve o aquecimento da embalagem a aproximadamente 300oC e formando a ligação entre o fio e as almofadas de ligação usando calor e pressão. Dois tipos principais de colagem por termocompressão estão em uso:colagem de bola e união de cunha. A ligação de esferas, que é usada apenas com fio de ouro, alimenta o fio através de um tubo capilar, comprime-o e, em seguida, uma chama de hidrogênio derrete o fio. Além disso, forma-se uma nova bola na ponta do arame para o próximo ciclo de colagem. A colagem por cunha envolve uma ferramenta de colagem em forma de cunha e um microscópio usado para posicionar o chip de silício e empacotar com precisão sobre a placa de colagem. O processo é realizado em uma atmosfera inerte.

A colagem ultrassônica usa um pulso de energia ultrassônica de alta frequência para fornecer uma ação de esfregar que forma uma ligação entre o fio e a almofada de colagem. A colagem ultrassônica é usada principalmente com fio de alumínio e geralmente é preferida à colagem por termocompressão, pois não requer que o chip do circuito seja aquecido durante a operação de colagem.

A colagem termossônica é uma mudança tecnológica recente na colagem de fios de ouro. Envolve o uso de uma combinação de energia ultrassônica e térmica e requer menos calor do que a colagem por termocompressão.

Encapsulamento

O objetivo principal do encapsulamento é colocar um circuito integrado em um pacote que atenda aos requisitos elétricos, térmicos, químicos e físicos associados à aplicação do circuito integrado.

Os tipos de pacotes mais amplamente usados ​​são o tipo radial-lead, o pacote plano e o pacote dual-in-line (DIP). As embalagens de chumbo radial são feitas principalmente de Kovar, uma liga de ferro, níquel e cobalto, com selos de vidro duro e chumbo Kovar. As embalagens planas usam armações de metal-chumbo, geralmente feitas de uma liga de alumínio combinada com componentes de cerâmica, vidro e metal. As embalagens dual-in-line são geralmente as mais comuns e geralmente usam cerâmica ou plásticos moldados.

Os pacotes semicondutores de plástico moldado são produzidos principalmente por dois processos separados -moldagem de transferência e moldagem por injeção. A moldagem por transferência é o método de encapsulamento plástico predominante. Nesse método, os cavacos são montados em estruturas de chumbo não aparadas e, em seguida, carregados em lotes em moldes. Formas em pó ou pellets de compostos de moldagem de plástico termoendurecível são derretidas em uma panela aquecida e depois forçadas (transferidas) sob pressão para os moldes carregados. Os sistemas de compostos de moldagem de plástico em forma de pó ou pellet podem ser usados ​​em resinas epóxi, silicone ou silicone/epóxi. O sistema geralmente consiste em uma mistura de:

  • resinas termoendurecíveis— epóxi, silicone ou silicone/epóxi
  • endurecedores— epóxi novolacs e epóxi anidridos
  • envasadoras—dióxido de silício cristalino ou fundido com sílica (SiO2) e alumina (Al2O3), geralmente 50-70% em peso
  • retardante de fogo-trióxido de antimônio (Sb2O3) geralmente 1-5% em peso.

 

A moldagem por injeção usa um composto de moldagem termoplástico ou termofixo que é aquecido até seu ponto de fusão em um cilindro a uma temperatura controlada e forçado sob pressão através de um bico no molde. A resina solidifica rapidamente, o molde é aberto e a embalagem de encapsulamento ejetada. Uma ampla variedade de compostos plásticos é usada na moldagem por injeção, sendo as resinas epóxi e sulfeto de polifenileno (PPS) as mais novas entradas no encapsulamento de semicondutores.

A embalagem final do dispositivo semicondutor de silício é classificada de acordo com sua resistência a vazamentos ou capacidade de isolar o circuito integrado de seu ambiente. Estes são diferenciados como sendo hermeticamente (hermeticamente) ou não hermeticamente fechados.

Teste de vazamento e queimadura

Teste de vazamento é um procedimento desenvolvido para testar a real capacidade de vedação ou hermetismo do dispositivo embalado. Duas formas comuns de teste de vazamento estão em uso: detecção de vazamento de hélio e detecção de vazamento de rastreador radioativo.

Na detecção de vazamento de hélio, os pacotes completos são colocados em uma atmosfera de pressão de hélio por um período de tempo. O hélio é capaz de penetrar nas imperfeições da embalagem. Após a remoção da câmara de pressurização de hélio, a embalagem é transferida para uma câmara de espectrômetro de massa e testada quanto ao vazamento de hélio pelas imperfeições da embalagem.

Gás marcador radioativo, geralmente criptônio-85 (Kr-85), é substituído por hélio no segundo método, e o vazamento de gás radioativo da embalagem é medido. Sob condições normais, a exposição do pessoal a este processo é inferior a 5 milisieverts (500 milirems) por ano (Baldwin e Stewart 1989). Os controles para esses sistemas geralmente incluem:

  • isolamento em quartos com acesso limitado apenas ao pessoal necessário
  • postou sinais de alerta de radiação nas portas dos quartos contendo Kr-85
  • monitores de radiação contínua com alarmes e desligamento/isolamento automático
  • sistema de exaustão dedicado e sala de pressão negativa
  • monitoramento de exposições com dosimetria pessoal (por exemplo, crachás de filme de radiação)
  • manutenção regular de alarmes e intertravamentos
  • verificações regulares de vazamento de material radioativo
  • treinamento de segurança para operadores e técnicos
  • garantir que as exposições à radiação sejam mantidas tão baixas quanto razoavelmente possível (ALARA).

 

Além disso, os materiais que entram em contato com o Kr-85 (por exemplo, ICs expostos, óleo de bomba usado, válvulas e anéis de vedação) são examinados para garantir que não emitam níveis excessivos de radiação devido ao gás residual neles antes de serem removidos do a área controlada. Leach-Marshal (1991) fornece informações detalhadas sobre exposições e controles de sistemas de detecção de vazamento fino Kr-85.

Queimar é uma operação de tensão elétrica e de temperatura para determinar a confiabilidade do dispositivo final embalado. Os dispositivos são colocados em um forno com temperatura controlada por um longo período de tempo usando a atmosfera ambiente ou uma atmosfera inerte de nitrogênio. As temperaturas variam de 125°C a 200°C (150°C é uma média) e períodos de tempo de algumas horas a 1,000 horas (48 horas é uma média).

Teste final

Para uma caracterização final do desempenho do dispositivo semicondutor de silício encapsulado, um teste elétrico final é realizado. Devido ao grande número e à complexidade dos testes necessários, um computador realiza e avalia o teste de inúmeros parâmetros importantes para o eventual funcionamento do dispositivo.

Marcar e embalar

A identificação física do dispositivo final embalado é realizada pelo uso de uma variedade de sistemas de marcação. As duas principais categorias de marcação de componentes são impressão com e sem contato. A impressão por contato normalmente incorpora uma técnica offset rotativa usando tintas à base de solvente. A impressão sem contato, que transfere marcações sem contato físico, envolve impressão com cabeçote de jato de tinta ou toner usando tintas à base de solvente ou marcação a laser.

Os solventes usados ​​como suporte para as tintas de impressão e como pré-limpeza são normalmente compostos por uma mistura de álcoois (etanol) e ésteres (acetato de etila). A maioria dos sistemas de marcação de componentes, além da marcação a laser, usa tintas que requerem uma etapa adicional para fixação ou cura. Esses métodos de cura são a cura ao ar, a cura por calor (térmica ou infravermelha) e a cura por ultravioleta. As tintas de cura ultravioleta não contêm solventes.

Os sistemas de marcação a laser utilizam dióxido de carbono (CO2) ou um laser de neodímio:ítrio de alta potência. Esses lasers são normalmente embutidos no equipamento e possuem gabinetes intertravados que encerram o caminho do feixe e o ponto onde o feixe entra em contato com o alvo. Isso elimina o perigo do feixe de laser durante as operações normais, mas há uma preocupação quando os intertravamentos de segurança são anulados. A operação mais comum em que é necessário remover os compartimentos do feixe e anular os intertravamentos é o alinhamento do feixe de laser.

Durante essas operações de manutenção, idealmente, a sala que contém o laser deve ser evacuada, exceto para os técnicos de manutenção necessários, com as portas da sala trancadas e sinalizadas com sinais de segurança de laser apropriados. No entanto, os lasers de alta potência usados ​​na fabricação de semicondutores geralmente estão localizados em áreas de fabricação grandes e abertas, tornando impraticável realocar o pessoal não responsável pela manutenção durante a manutenção. Para essas situações, normalmente é estabelecida uma área de controle temporária. Normalmente, essas áreas de controle consistem em cortinas de laser ou telas de soldagem capazes de suportar contato direto com o feixe de laser. A entrada para a área de controle temporária geralmente é feita por meio de uma entrada de labirinto que é afixada com um sinal de alerta sempre que os intertravamentos do laser são desfeitos. Outras precauções de segurança durante o alinhamento do feixe são semelhantes às exigidas para a operação de um laser de alta potência de feixe aberto (por exemplo, treinamento, proteção para os olhos, procedimentos escritos e assim por diante).

Lasers de alta potência também são um dos perigos elétricos mais significativos na indústria de semicondutores. Mesmo após o desligamento da energia, existe um potencial de choque significativo dentro da ferramenta e deve ser dissipado antes de trabalhar dentro do gabinete.

Juntamente com o perigo do feixe e o perigo elétrico, também deve-se tomar cuidado ao realizar a manutenção em sistemas de marcação a laser devido ao potencial de contaminação química do trióxido de antimônio retardador de fogo e berílio (embalagens de cerâmica contendo este composto serão rotuladas). Os vapores podem ser criados durante a marcação com os lasers de alta potência e criar resíduos nas superfícies do equipamento e nos filtros de extração de fumos.

Desengraxantes foram usados ​​no passado para limpar semicondutores antes de serem marcados com códigos de identificação. A exposição a solventes acima do limite de exposição ocupacional aplicável no ar pode ocorrer facilmente se a cabeça do operador for colocada abaixo das bobinas de resfriamento que causam a recondensação dos vapores, como pode acontecer quando um operador tenta recuperar peças caídas ou quando um técnico limpa resíduos do fundo do a unidade (Baldwin e Stewart 1989). O uso de desengordurantes foi bastante reduzido na indústria de semicondutores devido a restrições ao uso de substâncias que destroem a camada de ozônio, como clorofluorcarbonetos e solventes clorados.

Análise de falhas e garantia de qualidade

Os laboratórios de análise de falha e análise de qualidade normalmente realizam várias operações usadas para garantir a confiabilidade dos dispositivos. Algumas das operações realizadas nesses laboratórios apresentam potencial de exposição dos funcionários. Esses incluem:

  • provas de marcação utilizando vários solventes e misturas corrosivas em copos aquecidos em placas de aquecimento. Ventilação de exaustão local (LEV) na forma de uma capa de metal com velocidades faciais adequadas é necessária para controlar as emissões fugitivas. As soluções de monoetanolamina podem resultar em exposições superiores ao seu limite de exposição aérea (Baldwin e Williams 1996).
  • teste de bolhas/vazamentos utilizando fluorocarbonetos de alto peso molecular (nome comercial Fluorinerts)
  • unidades de embalagem de raios-x.

 

O cobalto-60 (até 26,000 curies) é usado em irradiadores para testar a capacidade dos ICs de resistir à exposição à radiação gama em aplicações militares e espaciais. Em condições normais, a exposição do pessoal nesta operação é inferior a 5 milisieverts (500 milirems) por ano (Baldwin e Stewart 1989). Os controles para esta operação um tanto especializada são semelhantes aos utilizados para sistemas de vazamento fino Kr-85 (por exemplo, sala isolada, monitores de radiação contínua, monitoramento de exposição pessoal e assim por diante).

Pequenas fontes alfa de “licença específica” (por exemplo, micro e milicuries de Americium-241) são usadas no processo de análise de falha. Essas fontes são cobertas por uma fina camada protetora chamada janela que permite que partículas alfa sejam emitidas da fonte para testar a capacidade do circuito integrado de operar quando bombardeado por partículas alfa. Normalmente, as fontes são verificadas periodicamente (por exemplo, semestralmente) quanto a vazamento de material radioativo que pode ocorrer se a janela de proteção for danificada. Qualquer vazamento detectável geralmente desencadeia a remoção da fonte e seu envio de volta ao fabricante.

Os sistemas de raios X de gabinete são usados ​​para verificar a espessura dos revestimentos de metal e para identificar defeitos (por exemplo, bolhas de ar em pacotes de compostos de molde). Embora não sejam uma fonte significativa de vazamento, essas unidades são normalmente verificadas periodicamente (por exemplo, anualmente) com um medidor de pesquisa portátil para vazamento de raios-x e inspecionadas para garantir que os intertravamentos da porta funcionem corretamente.

Envios

A remessa é o ponto final do envolvimento da maioria dos fabricantes de dispositivos de semicondutores de silício. Os fabricantes de semicondutores comerciais vendem seus produtos para outros produtores de produtos finais, enquanto os fabricantes cativos usam os dispositivos para seus próprios produtos finais.

Estudo de saúde

Cada etapa do processo usa um conjunto específico de produtos químicos e ferramentas que resultam em preocupações específicas de EHS. Além das preocupações associadas às etapas específicas do processo no processamento de dispositivos semicondutores de silício, um estudo epidemiológico investigou os efeitos na saúde entre funcionários da indústria de semicondutores (Schenker et al. 1992). Veja também a discussão no artigo “Efeitos na saúde e padrões de doenças”.

A principal conclusão do estudo foi que o trabalho em instalações de fabricação de semicondutores está associado a um aumento da taxa de aborto espontâneo (SAB). No componente histórico do estudo, o número de gestações estudadas em funcionários de fabricação e não fabricação foi aproximadamente igual (447 e 444, respectivamente), mas houve mais abortos espontâneos em fabricação (n=67) do que em não fabricação (n=46) . Quando ajustado para vários fatores que podem causar viés (idade, etnia, tabagismo, estresse, status socioeconômico e histórico de gravidez), o risco relativo (RR) para fabricação versus não fabricação foi de 1.43 (intervalo de confiança de 95% = 0.95-2.09) .

Os pesquisadores relacionaram o aumento da taxa de SAB com a exposição a certos éteres de glicol à base de etileno (EGE) usados ​​na fabricação de semicondutores. Os éteres de glicol específicos envolvidos no estudo e suspeitos de causar efeitos reprodutivos adversos são:

  • 2-metoxietanol (CAS 109-86-4)
  • Acetato de 2-metoxietila (CAS 110-49-6)
  • acetato de 2-etoxietil (CAS 111-15-9).

 

Embora não façam parte do estudo, dois outros glicol éteres usados ​​na indústria, 2-etoxietanol (CAS 110-80-5) e dietilenoglicol dimetil éter (CAS 111-96-6) têm efeitos tóxicos semelhantes e foram banidos por alguns fabricantes de semicondutores.

Além de uma taxa aumentada de SAB associada à exposição a certos éteres de glicol, o estudo também concluiu:

  • Existia uma associação inconsistente para a exposição ao flúor (na corrosão) e SAB.
  • O estresse autorreferido foi um forte fator de risco independente para SAB entre as mulheres que trabalham nas áreas de fabricação.
  • Levava mais tempo para as mulheres que trabalhavam na área de fabricação engravidarem em comparação com as mulheres nas áreas de não fabricação.
  • Um aumento nos sintomas respiratórios (irritação dos olhos, nariz e garganta e respiração ofegante) estava presente para os trabalhadores de fabricação em comparação com os trabalhadores de fora da fabricação.
  • Sintomas musculoesqueléticos da extremidade superior distal, como dor nas mãos, punhos, cotovelos e antebraços, foram associados ao trabalho na sala de fabricação.
  • Dermatite e queda de cabelo (alopecia) foram relatadas com mais frequência entre os trabalhadores de fabricação do que entre os trabalhadores de não fabricação.

 

Revisão do Equipamento

A complexidade do equipamento de fabricação de semicondutores, aliada aos avanços contínuos nos processos de fabricação, torna a revisão pré-instalação de novos equipamentos de processo importante para minimizar os riscos de EHS. Dois processos de revisão de equipamentos ajudam a garantir que os novos equipamentos de processo de semicondutores tenham controles EHS apropriados: marcação CE e padrões Semiconductor Equipment and Materials International (SEMI).

A marcação CE é uma declaração do fabricante de que o equipamento assim marcado está em conformidade com os requisitos de todas as diretivas aplicáveis ​​da União Europeia (UE). Para equipamentos de fabricação de semicondutores, a Diretiva de Máquinas (MD), a Diretiva de Compatibilidade Eletromagnética (EMC) e a Diretriz de Baixa Tensão (LVD) são consideradas as diretivas mais aplicáveis.

No caso da Diretiva EMC, os serviços de um órgão competente (organização oficialmente autorizada por um estado membro da UE) precisam ser contratados para definir os requisitos de teste e aprovar os resultados do exame. O MD e o LVD podem ser avaliados pelo fabricante ou por um organismo notificado (organização oficialmente autorizada por um estado membro da UE). Independentemente do caminho escolhido (autoavaliação ou terceiro), é o importador registrado o responsável pela marcação CE do produto importado. Eles podem usar as informações de terceiros ou de autoavaliação como base para acreditar que o equipamento atende aos requisitos das diretivas aplicáveis, mas, em última análise, eles próprios prepararão a declaração de conformidade e aporão a marcação CE.

A Semiconductor Equipment and Materials International é uma associação comercial internacional que representa fornecedores de materiais e equipamentos de tela plana e semicondutores. Entre suas atividades está o desenvolvimento de padrões técnicos voluntários que são acordos entre fornecedores e clientes visando melhorar a qualidade e confiabilidade do produto a um preço razoável e fornecimento estável.

Dois padrões SEMI que se aplicam especificamente às preocupações de EHS para novos equipamentos são SEMI S2 e SEMI S8. SEMI S2-93, Diretrizes de segurança para equipamentos de fabricação de semicondutores, destina-se a ser um conjunto mínimo de considerações de EHS baseadas em desempenho para equipamentos usados ​​na fabricação de semicondutores. SEMI S8-95, Guia do usuário dos critérios ergonômicos de sucesso do fornecedor, expande a seção de ergonomia no SEMI S2.

Muitos fabricantes de semicondutores exigem que novos equipamentos sejam certificados por terceiros como atendendo aos requisitos da SEMI S2. Diretrizes para interpretar SEMI S2-93 e SEMI S8-95 estão contidas em uma publicação do consórcio industrial SEMATECH (SEMATECH 1996). Informações adicionais sobre SEMI estão disponíveis na web mundial (http://www.semi.org).

Manipulação Química

Dispensação de líquido

Com os sistemas automatizados de distribuição de produtos químicos se tornando a regra, não a exceção, o número de queimaduras químicas em funcionários diminuiu. No entanto, proteções adequadas precisam ser instaladas nesses sistemas automatizados de distribuição de produtos químicos. Esses incluem:

  • detecção de vazamento e desligamento automático na fonte de abastecimento a granel e nas caixas de junção
  • dupla contenção de linhas se o produto químico for considerado um material perigoso
  • sensores de alto nível nos pontos finais (banho ou vaso de ferramentas)
  • desligamento cronometrado da bomba (permite que apenas uma quantidade específica seja bombeada para um local antes de desligar automaticamente).

Distribuição de gás

A segurança da distribuição de gás melhorou significativamente ao longo dos anos com o advento de novos tipos de válvulas de cilindro, orifícios de fluxo restrito incorporados ao cilindro, painéis automatizados de purga de gás, detecção e fechamento de alta vazão e equipamentos de detecção de vazamento mais sofisticados. Por causa de sua propriedade pirofórica e seu amplo uso como matéria-prima, o gás silano representa o risco de explosão mais significativo na indústria. No entanto, os incidentes com gás silano tornaram-se mais previsíveis com novas pesquisas realizadas pela Factory Mutual e SEMATECH. Com orifícios de fluxo reduzido adequados (RFOs), pressões de entrega e taxas de ventilação, a maioria dos incidentes explosivos foram eliminados (SEMATECH 1995).

Vários incidentes de segurança ocorreram nos últimos anos devido a uma mistura descontrolada de gases incompatíveis. Devido a esses incidentes, os fabricantes de semicondutores geralmente revisam as instalações da linha de gás e as caixas de gás para ferramentas para garantir que não ocorra mistura inadequada e/ou refluxo de gases.

Questões químicas geralmente geram as maiores preocupações na fabricação de semicondutores. No entanto, a maioria das lesões e mortes na indústria resultam de perigos não químicos.

Segurança elétrica

Existem inúmeros riscos elétricos associados aos equipamentos usados ​​nesta indústria. Os intertravamentos de segurança desempenham um papel importante na segurança elétrica, mas esses intertravamentos geralmente são substituídos pelos técnicos de manutenção. Uma quantidade significativa de trabalho de manutenção é normalmente realizada enquanto o equipamento ainda está energizado ou apenas parcialmente desenergizado. Os perigos elétricos mais significativos estão associados a implantadores de íons e fontes de alimentação a laser. Mesmo após o desligamento da energia, existe um potencial de choque significativo dentro da ferramenta e deve ser dissipado antes de trabalhar dentro da ferramenta. O processo de revisão SEMI S2 nos Estados Unidos e a marca CE na Europa ajudaram a melhorar a segurança elétrica de novos equipamentos, mas as operações de manutenção nem sempre são consideradas adequadamente. Uma análise cuidadosa das operações de manutenção e dos riscos elétricos é necessária para todos os equipamentos recém-instalados.

Em segundo lugar na lista de riscos elétricos está o conjunto de equipamentos que gera energia de RF durante os processos de corrosão, pulverização catódica e limpeza da câmara. Blindagem e aterramento adequados são necessários para minimizar o risco de queimaduras por RF.

Esses riscos elétricos e as muitas ferramentas que não são desligadas durante as operações de manutenção exigem que os técnicos de manutenção empreguem outros meios para se protegerem, como procedimentos de bloqueio/sinalização. Riscos elétricos não são as únicas fontes de energia tratadas com bloqueio/sinalização. Outras fontes de energia incluem linhas pressurizadas, muitas contendo gases ou líquidos perigosos e controles pneumáticos. As desconexões para controlar essas fontes de energia precisam estar em um local prontamente disponível - dentro do fab (fabricação) ou perseguir a área onde o funcionário estará trabalhando, em vez de locais inconvenientes, como subfabs.

Ergonomia

A interface entre o funcionário e a ferramenta continua causando lesões. Tensão muscular e entorses são bastante comuns na indústria de semicondutores, especialmente com o técnico de manutenção. O acesso a bombas, tampas de câmara e assim por diante geralmente não é bem projetado durante a fabricação da ferramenta e durante a colocação da ferramenta na fábrica. As bombas devem estar sobre rodas ou colocadas em gavetas ou bandejas extraíveis. Dispositivos de elevação precisam ser incorporados para muitas operações.

O simples manuseio de wafer causa riscos ergonômicos, especialmente em instalações mais antigas. As instalações mais novas geralmente têm wafers maiores e, portanto, requerem sistemas de manuseio mais automatizados. Muitos desses sistemas de manuseio de wafer são considerados dispositivos robóticos, e as preocupações de segurança com esses sistemas devem ser consideradas quando são projetados e instalados (ANSI 1986).

Segurança contra Incêndios

Além do gás silano, que já foi abordado, o gás hidrogênio tem o potencial de ser um risco de incêndio significativo. No entanto, é melhor compreendido e a indústria não viu muitos problemas importantes associados ao hidrogênio.

O risco de incêndio mais sério agora está associado a decks molhados ou banhos de ataque. Os materiais plásticos típicos de construção (cloreto de polivinila, polipropileno e polipropileno resistente a chamas) foram todos envolvidos em fab incêndios. A fonte de ignição pode ser um aquecedor de banho de decapagem ou chapeamento, os controles elétricos montados diretamente no plástico ou uma ferramenta adjacente. Se ocorrer um incêndio com uma dessas ferramentas de plástico, a contaminação por partículas e os produtos de combustão corrosivos se espalharão pela fábrica. A perda econômica é alta devido ao tempo de inatividade na fábrica enquanto a área e os equipamentos são trazidos de volta aos padrões de sala limpa. Muitas vezes, alguns equipamentos caros não podem ser adequadamente descontaminados e novos equipamentos devem ser adquiridos. Portanto, a prevenção e a proteção contra incêndios adequadas são críticas.

A prevenção de incêndios pode ser abordada com diferentes materiais de construção não combustíveis. O aço inoxidável é o material de construção preferido para esses decks úmidos, mas muitas vezes o processo não “aceita” uma ferramenta de metal. Existem plásticos com menor potencial de fogo/fumaça, mas ainda não foram adequadamente testados para determinar se serão compatíveis com os processos de fabricação de semicondutores.

Para proteção contra incêndio, essas ferramentas devem ser protegidas por sprinklers desobstruídos. A colocação de filtros HEPA acima de bancadas molhadas geralmente bloqueia os aspersores. Se isso ocorrer, aspersores adicionais serão instalados abaixo dos filtros. Muitas empresas também exigem que um sistema de detecção e supressão de incêndio seja instalado dentro das cavidades plenum dessas ferramentas, onde muitos incêndios começam.

 

Voltar

Sábado, abril 02 2011 18: 39

Monitores de cristal líquido

Displays de cristal líquido (LCDs) estão disponíveis comercialmente desde a década de 1970. Eles são comumente usados ​​em relógios, calculadoras, rádios e outros produtos que requerem indicadores e três ou quatro caracteres alfanuméricos. Aperfeiçoamentos recentes nos materiais de cristal líquido permitem que telas grandes sejam fabricadas. Embora os LCDs sejam apenas uma pequena parte da indústria de semicondutores, sua importância cresceu com seu uso em monitores de tela plana para computadores portáteis, laptops muito leves e processadores de texto dedicados. Espera-se que a importância dos LCDs continue a crescer à medida que eventualmente substituem o último tubo de vácuo comumente usado em eletrônica - o tubo de raios catódicos (CRT) (O'Mara 1993).

A fabricação de LCDs é um processo muito especializado. Os resultados do monitoramento da higiene industrial indicam níveis muito baixos de contaminantes no ar para as várias exposições a solventes monitoradas (Wade et al. 1981). Em geral, os tipos e quantidades de sólidos tóxicos, corrosivos e inflamáveis, produtos químicos líquidos e gasosos e agentes físicos perigosos em uso são limitados em comparação com outros tipos de fabricação de semicondutores.

Os materiais de cristal líquido são moléculas semelhantes a bastões, exemplificadas pelas moléculas de cianobifenil mostradas na figura 1. Essas moléculas possuem a propriedade de girar na direção da passagem da luz polarizada. Embora as moléculas sejam transparentes à luz visível, um recipiente do material líquido parece leitoso ou translúcido em vez de transparente. Isso ocorre porque o longo eixo das moléculas está alinhado em ângulos aleatórios, de modo que a luz é espalhada aleatoriamente. Uma célula de exibição de cristal líquido é disposta de modo que as moléculas sigam um alinhamento específico. Esse alinhamento pode ser alterado com um campo elétrico externo, permitindo que a polarização da luz recebida seja alterada.

Figura 1. Moléculas básicas de polímero de cristal líquido

MIC030F1

Na fabricação de monitores de tela plana, dois substratos de vidro são processados ​​separadamente e depois unidos. O substrato frontal é padronizado para criar uma matriz de filtros de cores. O substrato de vidro traseiro é padronizado para formar transistores de filme fino e as linhas de interconexão de metal. Essas duas placas são unidas no processo de montagem e, se necessário, fatiadas e separadas em expositores individuais. Material de cristal líquido é injetado em um espaço entre as duas placas de vidro. Os monitores são inspecionados e testados e um filme polarizador é aplicado a cada placa de vidro.

Numerosos processos individuais são necessários para fabricar monitores de tela plana. Eles exigem equipamentos, materiais e processos especializados. Certos processos-chave são descritos abaixo.

Preparação de substrato de vidro

O substrato de vidro é um componente essencial e caro da tela. Um controle muito rigoroso das propriedades ópticas e mecânicas do material é necessário em todas as etapas do processo, especialmente quando o aquecimento está envolvido.

fabricação de vidro

Dois processos são usados ​​para fazer um vidro muito fino com dimensões muito precisas e propriedades mecânicas reprodutíveis. O processo de fusão, desenvolvido pela Corning, utiliza uma vareta de alimentação de vidro que derrete em uma calha em forma de cunha e flui para cima e pelas laterais da calha. Fluindo por ambos os lados da calha, o vidro fundido se junta em uma única folha no fundo da calha e pode ser puxado para baixo como uma folha uniforme. A espessura da folha é controlada pela velocidade de extração do vidro. Larguras de até quase 1 m podem ser obtidas.

Outros fabricantes de vidro com as dimensões apropriadas para substratos de LCD usam o método de fabricação flutuante. Neste método, o vidro derretido é deixado fluir para um leito de estanho derretido. O vidro não se dissolve nem reage com o estanho metálico, mas flutua na superfície. Isso permite que a gravidade alise a superfície e permite que ambos os lados fiquem paralelos. (Veja o capítulo Vidro, cerâmica e materiais afins.)

Uma variedade de tamanhos de substrato está disponível, estendendo-se até 450 × 550 mm e maiores. A espessura típica do vidro para monitores de tela plana é de 1.1 mm. O vidro mais fino é usado para alguns monitores menores, como pagers, telefones, jogos e assim por diante.

Corte, biselamento e polimento

Os substratos de vidro são cortados no tamanho após o processo de fusão ou flutuação, normalmente em cerca de 1 m de lado. Várias operações mecânicas seguem o processo de conformação, dependendo da aplicação final do material.

Como o vidro é quebradiço e facilmente lascado ou rachado nas bordas, eles são normalmente chanfrados, chanfrados ou tratados de outra forma para reduzir lascas durante o manuseio. As tensões térmicas nas rachaduras de borda se acumulam durante o processamento do substrato e levam à quebra. A quebra do vidro é um problema significativo durante a produção. Além da possibilidade de cortes e lacerações dos funcionários, representa perda de rendimento, podendo permanecer fragmentos de vidro nos equipamentos, causando contaminação particulada ou riscando outros substratos.

O aumento do tamanho do substrato resulta em maiores dificuldades para o polimento do vidro. Grandes substratos são montados em transportadores usando cera ou outro adesivo e polidos usando uma pasta de material abrasivo. Este processo de polimento deve ser seguido de uma limpeza química minuciosa para remover qualquer resto de cera ou outro resíduo orgânico, bem como os contaminantes metálicos contidos no meio abrasivo ou polidor.

Limpeza

Os processos de limpeza são usados ​​para substratos de vidro nu e para substratos cobertos com filmes orgânicos, como filtros de cor, filmes de orientação de poliimida e assim por diante. Além disso, substratos com filmes semicondutores, isolantes e metálicos requerem limpeza em determinados pontos do processo de fabricação. No mínimo, a limpeza é necessária antes de cada etapa de mascaramento na fabricação do filtro de cor ou do transistor de filme fino.

A maioria das limpezas de painéis planos emprega uma combinação de métodos físicos e químicos, com uso seletivo de métodos secos. Após o ataque químico ou limpeza, os substratos são geralmente secos com álcool isopropílico. (Ver tabela 1.)

Tabela 1. Limpeza de monitores de tela plana

Limpeza física

Limpeza à seco

Limpeza química

escova de esfregar

ozônio ultravioleta

Solvente orgânico*

Jato de pulverização

Plasma (óxido)

Detergente neutro

Ultrassônico

Plasma (não óxido)

 

megasônico

Laser

Água pura

* Solventes orgânicos comuns usados ​​na limpeza química incluem: acetona, metanol, etanol, n-propanol, isômeros de xileno, tricloroetileno, tetracloroetileno.

Formação de filtro de cor

A formação do filtro de cor no substrato de vidro frontal inclui algumas das etapas de acabamento e preparação do vidro comuns aos painéis frontal e traseiro, incluindo os processos de biselamento e lapidação. Operações como padronização, revestimento e cura são realizadas repetidamente no substrato. Existem muitos pontos de semelhança com o processamento de pastilhas de silício. Substratos de vidro são normalmente manuseados em sistemas de trilhos para limpeza e revestimento.

Padronização do filtro de cores

Vários materiais e métodos de aplicação são usados ​​para criar filtros de cores para vários tipos de monitores de tela plana. Tanto um corante quanto um pigmento podem ser usados, e qualquer um deles pode ser depositado e modelado de várias maneiras. Em uma abordagem, a gelatina é depositada e tingida em operações fotolitográficas sucessivas, usando equipamentos de impressão de proximidade e fotorresistentes padrão. Em outro, são empregados pigmentos dispersos em fotorresistente. Outros métodos para formar filtros de cores incluem eletrodeposição, gravação e impressão.

Deposição de ITO

Após a formação do filtro de cor, a etapa final é a deposição por pulverização catódica de um material de eletrodo transparente. Este é o óxido de índio-estanho (ITO), que é na verdade uma mistura dos óxidos em2O3 e SnO2. Este material é o único adequado para a aplicação de condutor transparente para LCDs. É necessário um filme ITO fino em ambos os lados da tela. Normalmente, os filmes ITO são feitos usando evaporação a vácuo e pulverização catódica.

Filmes finos de ITO são fáceis de corroer com produtos químicos úmidos, como ácido clorídrico, mas, como o passo dos eletrodos torna-se menor e as características tornam-se mais finas, a corrosão a seco pode ser necessária para evitar rebaixamento das linhas devido ao desgaste excessivo.

Formação de Transistor de Filme Fino

A formação do transistor de película fina é muito semelhante à fabricação de um circuito integrado.

Deposição de filme fino

Os substratos começam o processo de fabricação com uma etapa de aplicação de filme fino. Filmes finos são depositados por CVD ou deposição física de vapor (PVD). O CVD aprimorado por plasma, também conhecido como descarga luminescente, é usado para silício amorfo, nitreto de silício e dióxido de silício.

Padronização do dispositivo

Uma vez que o filme fino foi depositado, um fotorresiste é aplicado e gerado para permitir a corrosão do filme fino nas dimensões apropriadas. Uma sequência de filmes finos é depositada e gravada, como na fabricação de circuitos integrados.

Aplicação de filme de orientação e fricção

Tanto no substrato superior quanto no inferior, um filme fino de polímero é depositado para orientação das moléculas de cristal líquido na superfície do vidro. Este filme de orientação, talvez com 0.1 μm de espessura, pode ser uma poliimida ou outro material polimérico “duro”. Após a deposição e cozimento, é esfregado com tecido em uma direção específica, deixando sulcos quase imperceptíveis na superfície. A fricção pode ser feita com um pano de passagem em uma correia, alimentado por um rolo de um lado, passando por baixo de um rolo que entra em contato com o substrato, para um rolo do outro lado. O substrato se move sob o pano na mesma direção do pano. Outros métodos incluem uma escova móvel que se move pelo substrato. O cochilo do material de fricção é importante. As ranhuras servem para ajudar as moléculas de cristal líquido a se alinharem na superfície do substrato e a assumirem o ângulo de inclinação adequado.

O filme de orientação pode ser depositado por revestimento giratório ou por impressão. O método de impressão é mais eficiente no uso de material; 70 a 80% da poliimida é transferida do rolo de impressão para a superfície do substrato.

Montagem

Uma vez concluída a etapa de fricção do substrato, inicia-se uma sequência de linha de montagem automatizada, que consiste em:

  • aplicação de adesivo (necessário para a vedação dos painéis)
  • aplicação de espaçador
  • localização e alinhamento óptico de uma placa em relação à outra
  • exposição (calor ou UV) para curar o adesivo e unir as duas placas de vidro.

 

O transporte automatizado das placas superior e inferior ocorre através da linha. Uma placa recebe o adesivo e a segunda placa é introduzida na estação aplicadora do espaçador.

Injeção de Cristal Líquido

No caso em que mais de um monitor foi construído no substrato, os monitores agora são separados por corte. Neste ponto, o material de cristal líquido pode ser introduzido no espaço entre os substratos, aproveitando um orifício deixado no material de vedação. Este orifício de entrada é então selado e preparado para a inspeção final. Os materiais de cristal líquido são geralmente fornecidos como sistemas de dois ou três componentes que são misturados na injeção. Os sistemas de injeção fornecem mistura e purga da célula para evitar o acúmulo de bolhas durante o processo de enchimento.

Inspeção e Teste

A inspeção e o teste funcional são realizados após a montagem e injeção de cristal líquido. A maioria dos defeitos está relacionada a partículas (incluindo defeitos de ponto e linha) e problemas de lacunas celulares.

Acessório Polarizador

A etapa final de fabricação do próprio visor de cristal líquido é a aplicação do polarizador na parte externa de cada placa de vidro. Os filmes polarizadores são filmes compostos que contêm a camada adesiva sensível à pressão necessária para fixar o polarizador ao vidro. São aplicados por máquinas automatizadas que dispensam o material a partir de rolos ou folhas pré-cortadas. As máquinas são variantes de rotuladoras desenvolvidas para outras indústrias. O filme polarizador é colocado em ambos os lados da tela.

Em alguns casos, um filme de compensação é aplicado antes do polarizador. Filmes de compensação são filmes de polímeros (por exemplo, policarbonato e polimetilmetacrilato) que são esticados em uma direção. Esse alongamento altera as propriedades ópticas do filme.

Uma exibição completa normalmente terá circuitos integrados de driver montados em ou próximo a um dos substratos de vidro, geralmente o lado do transistor de filme fino.

Riscos

A quebra do vidro é um risco significativo na fabricação de LCD. Cortes e lacerações podem ocorrer. A exposição a produtos químicos usados ​​para limpeza é outra preocupação.

 

Voltar

Sábado, abril 02 2011 18: 40

Fabricação de Semicondutores III-V

O silício dominou historicamente o desenvolvimento da tecnologia IC como o principal material semicondutor. O foco principal nos últimos anos em uma alternativa de silício concentrou-se em compostos III-V, como arsenieto de gálio (GaAs), como material de substrato. Como um material semicondutor, o GaAs exibe capacidades aumentadas em relação ao silício, como a mobilidade eletrônica de 5 a 6 vezes a do silício. Essa característica, aliada às potenciais propriedades semi-isolantes dos GaAs, leva a um aumento do desempenho tanto na velocidade quanto no consumo de energia.

GaAs tem uma estrutura de blenda de zinco que consiste em duas sub-redes cúbicas de face centrada interpenetrantes que se relacionam com o crescimento de material de lingote de alta qualidade. A tecnologia envolvida no crescimento de GaAs é consideravelmente mais complicada do que a empregada para o silício, pois envolve um equilíbrio bifásico mais complicado e um componente altamente volátil, o arsênio (As). O controle preciso da pressão de vapor de As no sistema de crescimento do lingote é necessário para manter a estequiometria exata do composto GaAs durante o processo de crescimento. Duas categorias primárias de exibição de semicondutores III-V e produção de dispositivos têm procedimentos de processamento economicamente viáveis ​​- displays de LED e dispositivos IC de micro-ondas.

Os LEDs são fabricados a partir de GaAs de cristal único, nos quais as junções pn são formadas pela adição de agentes dopantes adequados - normalmente telúrio, zinco ou silício. Camadas epitaxiais de materiais III-V ternários e quaternários, como fosfeto de arsenieto de gálio (GaAsP), crescem no substrato e resultam em uma banda de emissão de comprimentos de onda específicos no espectro visível para monitores ou no espectro infravermelho para emissores ou detectores. Por exemplo, a luz vermelha com pico em cerca de 650 nm vem da recombinação direta dos elétrons e buracos pn. Os diodos emissores verdes são geralmente compostos de fosfeto de gálio (GaP). As etapas de processamento de LED generalizadas são abordadas neste artigo.

Dispositivos IC de microondas são uma forma especializada de circuito integrado; eles são usados ​​como amplificadores de alta frequência (2 a 18 GHz) para radar, telecomunicações e telemetria, bem como para amplificadores de oitava e multi-oitava para uso em sistemas de guerra eletrônica. Os fabricantes de dispositivos IC de micro-ondas normalmente compram substrato de GaAs de cristal único, com ou sem uma camada epitaxial, de fornecedores externos (assim como os fabricantes de dispositivos de silício). As principais etapas de processamento incluem deposição epitaxial em fase líquida, processamento de fabricação e não-fabricação semelhante à fabricação de dispositivos de silício. As etapas de processamento que garantem uma descrição adicional àquela do processamento de LED também são discutidas neste artigo.

Fabricação de bolachas

Semelhante ao processo de crescimento do lingote de silício, formas elementares de gálio e arsênico, além de pequenas quantidades de material dopante - silício, telúrio ou zinco - reagem a temperaturas elevadas para formar lingotes de GaAs de cristal único dopado. Três métodos generalizados de produção de lingotes são utilizados:

  • Bridgeman horizontal ou vertical
  • congelamento de gradiente horizontal ou vertical
  • Czochralski encapsulado líquido de alta ou baixa pressão (LEC).

 

O composto de GaAs policristalino a granel é normalmente formado pela reação do vapor de As com o metal Ga a temperaturas elevadas em ampolas de quartzo seladas. Normalmente, um reservatório de As localizado em uma extremidade da ampola é aquecido a 618°C. Isso gera aproximadamente 1 atmosfera de pressão de vapor de As na ampola, um pré-requisito para a obtenção de GaAs estequiométrico. O vapor de As reage com o metal Ga mantido a 1,238°C e localizado na outra extremidade da ampola em um barco de quartzo ou nitreto de boro pirolítico (PBN). Depois que o arsênico foi completamente reagido, uma carga policristalina é formada. Isso é usado para crescimento de cristal único por resfriamento programado (congelamento de gradiente) ou movendo fisicamente a ampola ou o forno para fornecer gradientes de temperatura adequados para crescimento (Bridgeman). Esta abordagem indireta (transporte de arsênico) para composição e crescimento de GaAs é usada devido à alta pressão de vapor do arsênio no ponto de fusão de GaAs, cerca de 20 atmosferas a 812°C e 60 atmosferas a 1,238°C, respectivamente.

Outra abordagem para a produção comercial de GaAs de cristal único a granel é a técnica LEC. Um extrator de cristal Czochralski é carregado com pedaços de GaAs em um cadinho com um susceptor externo de grafite. O GaAs a granel é então fundido a temperaturas próximas a 1,238°C, e o cristal é puxado em uma atmosfera pressurizada que pode variar de acordo com o fabricante, tipicamente de algumas atmosferas até 100 atmosferas. O fundido é completamente encapsulado por um vidro viscoso, B2O3, o que evita a dissociação do fundido quando a pressão do vapor de As é igualada ou excedida pela pressão de um gás inerte (normalmente argônio ou nitrogênio) aplicado na câmara do extrator. Alternativamente, GaAs monocristalino pode ser sintetizado no local injetando o As no Ga fundido ou combinando As e Ga diretamente em alta pressão.

A fabricação de wafer de GaAs representa o processo de fabricação de semicondutores com o maior potencial para exposições químicas de rotina significativas. Embora a fabricação de wafer de GaAs seja feita apenas por uma pequena porcentagem de fabricantes de semicondutores, é necessária uma ênfase especial nessa área. As grandes quantidades de As usadas no processo, as inúmeras etapas do processo e o baixo limite de exposição aérea para o arsênico dificultam o controle das exposições. Artigos de Harrison (1986); Lenihan, Sheehy e Jones (1989); McIntyre e Sherin (1989) e Sheehy e Jones (1993) fornecem informações adicionais sobre os perigos e controles para este processo.

Síntese de lingote policristalino

Carga e vedação da ampola

Elemental As (99.9999%) em forma de pedaços é pesado e carregado em um barco de quartzo em um porta-luvas esgotado. O Ga líquido puro (99.9999%) e o material dopante também são pesados ​​e carregados em barcos de quartzo ou nitreto de boro pirolítico (PBN) da mesma maneira. Os barcos são carregados em uma longa ampola cilíndrica de quartzo. (Nas técnicas de Bridgman e congelamento de gradiente, um cristal semente com a orientação cristalográfica desejada também é introduzido, enquanto na técnica LEC de dois estágios, onde apenas poli GaAs é necessário neste estágio, um GaAs policristalino é sintetizado sem o cristal semente. )

As ampolas de quartzo são colocadas em um forno de baixa temperatura e aquecidas enquanto a ampola é purgada com hidrogênio (H2), em um processo conhecido como reação de redução de hidrogênio, para remover óxidos. Após a purga com um gás inerte, como o argônio, as ampolas de quartzo são conectadas a um conjunto de bomba de vácuo, evacuadas e as extremidades da ampola são aquecidas e seladas com uma tocha de hidrogênio/oxigênio. Isso cria uma ampola de quartzo carregada e selada pronta para o crescimento do forno. A purga de hidrogênio e o sistema de tocha de hidrogênio/oxigênio é um risco potencial de incêndio/explosão se dispositivos e equipamentos de segurança adequados não estiverem em uso (Wade et al. 1981).

Como o arsênico está sendo aquecido, este conjunto é mantido sob ventilação de exaustão. Depósitos de óxido de arsênico podem se formar no duto de exaustão que suporta este conjunto. Deve-se tomar cuidado para evitar exposição e contaminação caso os dutos sejam perturbados de alguma forma.

Armazenamento e manuseio de pedaços de arsênico é uma preocupação. Por segurança, muitas vezes o arsênico é mantido em armazenamento fechado e com um rígido controle de estoque. Normalmente, o arsênico também é mantido em um armário de armazenamento à prova de fogo para evitar seu envolvimento em caso de incêndio.

Crescimento do forno

Os métodos Bridgeman e de congelamento por gradiente de crescimento de lingote de cristal único utilizam ampolas de quartzo carregadas e seladas em um invólucro de forno de alta temperatura que é ventilado para um sistema de depuração úmida. Os principais riscos de exposição durante o crescimento do forno estão relacionados ao potencial da ampola de quartzo implodir ou explodir durante o crescimento do lingote. Esta situação ocorre de forma bastante esporádica e pouco frequente, e é o resultado de um dos seguintes:

  • a pressão parcial do vapor de As que resulta das altas temperaturas usadas no processo de crescimento
  • desvitrificação do vidro da ampola de quartzo, que cria rachaduras e o potencial de despressurização da ampola
  • falta de dispositivos precisos de controle de alta temperatura na fonte de aquecimento - geralmente do tipo resistência - com a superpressurização resultante da ampola de quartzo
  • mau funcionamento ou falha do termopar, resultando em sobrepressurização da ampola de quartzo
  • excesso de As ou muito pouco Ga no tubo da ampola, resultando em pressão extremamente alta de As, o que pode causar despressurização catastrófica da ampola.

 

O sistema Bridgeman horizontal consiste em um forno multizona no qual a ampola de quartzo selada tem zonas de temperatura separadas - a extremidade do dedo “frio” de arsênico a 618°C e o barco de cristal de quartzo gálio/dopante/semente contendo o fundido a 1,238°C. O princípio básico do sistema Bridgeman horizontal envolve a passagem de duas zonas aquecidas (uma acima do ponto de fusão de GaAs e outra abaixo do ponto de fusão) sobre um barco de GaAs para fornecer o congelamento controlado com precisão de GaAs fundidos. O cristal semente, mantido o tempo todo na zona de congelamento, fornece a estrutura inicial do cristal inicial, definindo a direção e a orientação da estrutura cristalina dentro do barco. O barco de quartzo e a ampola de Ga e As são suspensos dentro da câmara do aquecedor por um conjunto de forros de carboneto de silício chamados tubos de suporte, que são posicionados dentro do conjunto do aquecedor de resistência para mover mecanicamente a distância total da ampola. Além disso, o conjunto do forno repousa sobre uma mesa que deve ser inclinada durante o crescimento para fornecer a interface adequada do fundido de GaAs sintetizado com o cristal de semente.

No método de congelamento gradiente, um forno multizona de alta temperatura utilizando aquecimento por resistência é mantido de 1,200 a 1,300 °C (1,237 °C é o ponto de fusão/congelamento de GaAs). A duração total do processo de crescimento do lingote é tipicamente de 3 dias e compreende as seguintes etapas:

  • queima de forno à temperatura
  • Síntese de GaAs
  • semeando o derretimento
  • resfriamento/crescimento de cristal.

 

A ampola de quartzo também é inclinada durante o processo de crescimento pelo uso de um macaco manual tipo tesoura.

Ampola quebrada

Depois que o lingote de GaAs de cristal único é cultivado dentro da ampola de quartzo selada, a ampola deve ser aberta e o recipiente de quartzo contendo o lingote mais o cristal de semente removido. Isso é feito por um dos seguintes métodos:

  • cortando a extremidade selada das ampolas com uma serra circular molhada
  • aquecendo e quebrando a ampola com uma tocha de hidrogênio/oxigênio
  • quebrar a ampola ensacada com um martelo durante a exaustão para controlar o arsênico no ar.

 

As ampolas de quartzo são recicladas por corrosão úmida do arsênico condensado na superfície interior com água régia (HCl, HNO3) ou ácido sulfúrico/água oxigenada (H2SO4/H2O2).

Jateamento/limpeza de lingotes

Para ver defeitos policristalinos e remover óxidos e contaminantes externos, o lingote de GaAs de cristal único deve ser jateado. O jateamento é feito em uma unidade de caixa de luvas esgotada utilizando carboneto de silício ou mídia de jateamento de alumina calcinada. A limpeza úmida é feita em banhos químicos providos de ventilação local exaustora e utilizando água régia ou enxágues com álcool (álcool isopropílico e/ou metanol).

Crescimento de lingote monocristalino

O lingote de GaAs policristalino recuperado da ampola é quebrado em pedaços, pesado e colocado em um cadinho de quartzo ou PBN, e um disco de óxido de boro é colocado em cima dele. O cadinho é então colocado em um produtor de cristal (extrator) pressurizado em um gás inerte e aquecido a 1,238°C. A essa temperatura, o GaAs derrete, com o óxido de boro mais leve tornando-se um encapsulante líquido para evitar que o arsênico se dissocie do fundido. Um cristal de semente é introduzido no fundido abaixo da tampa do líquido e, enquanto gira em sentido contrário, é lentamente retirado do fundido, solidificando-se assim que sai da “zona quente”. Esse processo leva aproximadamente 24 horas, dependendo do tamanho da carga e do diâmetro do cristal.

Terminado o ciclo de crescimento, o grower é aberto para retirada do lingote monocristalino e para limpeza. Alguma quantidade de arsênico escapa do fundido mesmo com a tampa do líquido colocada. Pode haver exposição significativa ao arsênico transportado pelo ar durante esta etapa do processo. Para controlar essa exposição, o produtor é resfriado abaixo de 100°C, o que resulta na deposição de partículas finas de arsênico na superfície interna do produtor. Esse resfriamento ajuda a minimizar a quantidade de arsênico que se espalha pelo ar.

Depósitos pesados ​​de resíduos contendo arsênico são deixados no interior do produtor de cristal. A remoção dos resíduos durante a manutenção preventiva de rotina pode resultar em concentrações significativas de arsênico no ar (Lenihan, Sheehy e Jones 1989; Baldwin e Stewart 1989; McIntyre e Sherin 1989). Os controles usados ​​durante esta operação de manutenção geralmente incluem ventilação de exaustão, roupas descartáveis ​​e respiradores.

Quando o lingote é removido, o produtor é desmontado. Um vácuo HEPA é utilizado para coletar partículas de arsênico em todas as partes do produtor. Após a aspiração, as peças de aço inoxidável são limpas com uma mistura de hidróxido de amônio/peróxido de hidrogênio para remover qualquer arsênico residual e o cultivador é montado.

Processamento de wafer

Difração de raios X

A orientação cristalina do lingote de GaAs é determinada pelo uso de uma unidade de difração de raios X, como no processamento de lingotes de silício. Um laser de baixa potência pode ser usado para determinar a orientação cristalina em um ambiente de produção; no entanto, a difração de raios X é mais precisa e é o método preferido.

Quando a difração de raios X é usada, geralmente o feixe de raios X é totalmente fechado em um gabinete de proteção que é verificado periodicamente quanto a vazamento de radiação. Sob certas circunstâncias, não é prático conter totalmente o feixe de raios X em um gabinete intertravado. Neste caso, os operadores podem ser obrigados a usar crachás de radiação, e controles semelhantes aos usados ​​para lasers de alta potência são usados ​​(por exemplo, sala fechada com acesso limitado, treinamento do operador, fechando o feixe tanto quanto possível, etc.) ( Baldwin e Williams 1996).

Corte, moagem e corte de lingotes

As extremidades ou pontas do lingote de cristal único são removidas, usando uma serra diamantada de lâmina única lubrificada com água, com vários refrigerantes adicionados à água. O lingote monocristalino é então colocado em um torno que o molda em um lingote cilíndrico de diâmetro uniforme. Este é o processo de moagem, que também é um processo úmido.

Depois de cortar e moer, os lingotes de GaAs são montados em epóxi ou cera em um feixe de grafite e serrados em wafers individuais por meio do uso de serras diamantadas de diâmetro interno (DI) operadas automaticamente. Essa operação úmida é feita com o uso de lubrificantes e gera uma pasta de GaAs, que é coletada, centrifugada e tratada com fluoreto de cálcio para precipitar o arsênico. O sobrenadante é testado para garantir que não contém excesso de arsênico, e o lodo é compactado em um bolo e descartado como lixo perigoso. Alguns fabricantes enviam o lodo coletado dos processos de corte, moagem e fatiamento do lingote para a recuperação de Ga.

Arsina e fosfina podem ser formadas a partir da reação de GaAs e fosfeto de índio com a umidade do ar, outros arsenetos e fosfetos ou quando misturados com ácidos durante o processamento de arsenieto de gálio e fosfeto de índio; 92 ppb de arsina e 176 ppb de fosfina foram medidos a 2 polegadas de distância das lâminas de corte usadas para cortar GaAs e lingotes de fosfeto de índio (Mosovsky et al. 1992, Rainer et al. 1993).

lavagem de wafer

Depois que os wafers de GaAs são desmontados do feixe de grafite, eles são limpos por imersão sequencial em banhos químicos úmidos contendo soluções de ácido sulfúrico/peróxido de hidrogênio ou ácido acético e álcoois.

Perfil de borda

O perfilamento da borda também é um processo úmido realizado em wafers fatiados para formar uma borda ao redor do wafer, o que o torna menos propenso a quebras. Como apenas um corte fino é feito na superfície do wafer, apenas uma pequena quantidade de pasta é gerada.

Laminação e polimento

Os wafers são montados com cera em uma placa de lapidação ou retificação, usando uma placa de aquecimento, e são lapidados em uma máquina que exerce uma velocidade de rotação e pressão definidas. Uma solução de lapidação é alimentada na superfície de lapidação (uma pasta de óxido de alumínio, glicerina e água). Após um breve período de polimento, quando a espessura desejada é alcançada, os wafers são enxaguados e montados em uma polidora mecânica. O polimento é feito com bicarbonato de sódio, cloro a 5%, água (ou hipoclorito de sódio) e pasta de sílica coloidal. Os wafers são então desmontados em uma placa de aquecimento, a cera é removida usando solventes e os wafers são limpos.

Epitaxia

Os wafers de GaAs de cristal único são usados ​​como substratos para o crescimento de camadas muito finas do mesmo ou de outros compostos III-V com as propriedades eletrônicas ou ópticas desejadas. Isso deve ser feito de forma a continuar, na camada crescida, a estrutura cristalina do substrato. Esse crescimento de cristal, no qual o substrato determina a cristalinidade e a orientação da camada crescida, é chamado de epitaxia, e uma variedade de técnicas de crescimento epitaxial são usadas na exibição III-V e na produção de dispositivos. As técnicas mais comuns são:

  • epitaxia em fase líquida (LPE)
  • epitaxia de feixe molecular (MBE)
  • epitaxia em fase de vapor (VPE)
  • deposição de vapor químico metalorgânico (MOCVD) - também conhecida como epitaxia de fase de vapor organometálica (OMVPE).

 

Epitaxia em fase líquida

No LPE, uma camada de material dopado III-V é cultivada diretamente na superfície do substrato GaAs usando um suporte de grafite que contém câmaras separadas para o material a ser depositado nos wafers. Quantidades pesadas de materiais de deposição são adicionadas à câmara superior do suporte, enquanto os wafers são colocados em uma câmara inferior. O conjunto é colocado dentro de um tubo de reação de quartzo sob uma atmosfera de hidrogênio. O tubo é aquecido para derreter os materiais de deposição e, quando o fundido se equilibra, a seção superior do suporte é deslizada para que o fundido seja posicionado sobre o wafer. A temperatura do forno é então reduzida para formar a camada epitaxial.

O LPE é usado principalmente em epitaxia de micro-ondas IC e para fabricar LEDs de certos comprimentos de onda. A maior preocupação com este processo de LPE é o uso de gás hidrogênio altamente inflamável no sistema, que é mitigado por bons controles de engenharia e sistemas de alerta precoce.

Epitaxia de feixe molecular

A epitaxia a vácuo na forma de MBE se desenvolveu como uma técnica particularmente versátil. O MBE de GaAs consiste em um sistema de ultra-alto vácuo contendo fontes para feixes atômicos ou moleculares de Ga e As e um wafer de substrato aquecido. As fontes de feixe molecular são geralmente recipientes para Ga líquido ou As sólido. As fontes têm um orifício voltado para o wafer do substrato. Quando o forno de efusão (ou recipiente) é aquecido, átomos de Ga ou moléculas de As emanam do orifício. Para GaAs, o crescimento geralmente ocorre com uma temperatura de substrato acima de 450°C.

Altas exposições a arsina podem ocorrer durante a manutenção de sistemas MBE de fonte sólida. Concentrações de ar ambiente de 0.08 ppm foram detectadas em um estudo quando a câmara da unidade MBE foi aberta para manutenção. Os autores levantaram a hipótese de que a geração transiente de arsina pode ser causada por uma reação de partículas muito finas de arsênico com vapor de água, com o alumínio atuando como catalisador (Asom et al. 1991).

Epitaxia da fase de vapor

Wafers desengordurados e polidos passam por uma etapa de limpeza e corrosão antes da epitaxia. Isso envolve uma operação sequencial de imersão química úmida utilizando ácido sulfúrico, peróxido de hidrogênio e água em uma proporção de 5:1:1; um enxágue com água deionizada; e um álcool isopropílico limpo/seco. Uma inspeção visual também é realizada.

Duas técnicas principais de VPE estão em uso, com base em duas químicas diferentes:

  • os halogênios III (GaCl3) e V-halógenos (AsCl3) ou V-hidrogênio (AsH3 e PH3)
  • o III metal-orgânico e V-hidrogênio, como Ga(CH3)3 e AsH3—OMVPE.

 

As termoquímicas dessas técnicas são muito diferentes. As reações de halogênio são geralmente “quentes” para “frias”, nas quais o halogênio III é gerado em uma zona quente pela reação do elemento III com HCl, e então se difunde para a zona fria, onde reage com as espécies V para formar o material III-V. A química metal-orgânica é um processo de "parede quente" no qual o composto orgânico-metálico III "quebra" ou pirolisa o grupo orgânico e o restante III e o hidreto V reagem para formar III-V.

No VPE, o substrato GaAs é colocado em uma câmara aquecida sob uma atmosfera de hidrogênio. A câmara é aquecida por RF ou aquecimento por resistência. O HCl é borbulhado através de um barco de Ga, formando cloreto de gálio, que então reage com o AsH3 e PH3 perto da superfície dos wafers para formar GaAsP, que é depositado como a camada epitaxial no substrato. Existem vários dopantes que podem ser adicionados (dependendo do produto e da receita). Estes incluem baixas concentrações de teluretos, selenetos e sulfetos.

Uma técnica comum usada para VPE no processamento de LED é o sistema III-halógeno e V-hidrogênio (hidreto). Envolve um processo de dois ciclos - inicialmente crescendo a camada epitaxial de GaAsP no substrato GaAs e, por último, um ciclo de corrosão para limpar a câmara do reator de grafite/quartzo de impurezas. Durante o ciclo de crescimento epitaxial, os wafers de GaAs pré-limpos são carregados em um carrossel localizado dentro de uma câmara de reator de quartzo contendo um reservatório de gálio líquido elementar através do qual o gás HCl anidro é medido, formando GaCl3. As misturas de gás hidreto/hidrogênio (por exemplo, 7% AsH3/H2 e 10% PH3/H2) também são dosados ​​na câmara do reator com a adição de concentrações ppm de dopantes organometálicos de telúrio e selênio. As espécies químicas na zona quente, a parte superior da câmara de reação, reagem e, na zona fria, a parte inferior da câmara, formam a camada desejada de GaAsP no substrato do wafer, bem como no interior do câmara do reator.

Os efluentes do reator são encaminhados para um sistema de tocha de hidrogênio (câmara de combustão ou caixa de queima) para pirólise e são ventilados para um sistema de lavagem úmida. Alternativamente, os efluentes do reator podem ser borbulhados através de um meio líquido para reter a maioria das partículas. O desafio de segurança é depender dos próprios reatores para “quebrar” os gases. A eficiência desses reatores é de aproximadamente 98 a 99.5%; portanto, alguns gases não reagidos podem estar saindo do borbulhador quando são retirados pelos operadores. Há liberação de vários compostos contendo arsênico e fósforo desses borbulhadores, exigindo que eles sejam rapidamente transportados para uma pia ventilada para manutenção, onde são purgados e limpos, a fim de manter baixa a exposição do pessoal. O desafio de higiene ocupacional deste processo é o perfil do efluente de exaustão, uma vez que a maioria dos compostos desgaseificados de várias partes do reator, especialmente o borbulhador, são instáveis ​​no ar e os meios de coleta convencionais disponíveis e as técnicas analíticas não são discriminatórias em relação ao espécies diferentes.

Outra preocupação são os pré-lavadores para reatores VPE. Eles podem conter altas concentrações de arsina e fosfina. Exposições acima dos limites de exposição ocupacional podem ocorrer se esses pré-lavadores forem abertos indiscriminadamente (Baldwin e Stewart 1989).

O ciclo de corrosão é realizado no final do ciclo de crescimento e nas novas peças do reator para limpar a superfície interna de impurezas. Gás HCl não diluído é medido na câmara por períodos de aproximadamente 30 minutos, e o reator é aquecido a mais de 1,200°C. Os efluentes são ventilados para o sistema de lavagem úmida para neutralização.

No final de ambos os ciclos de crescimento e corrosão, um N estendido2 A purga é usada para limpar a câmara do reator de gases tóxicos/inflamáveis ​​e corrosivos.

Limpeza do reator

Após cada ciclo de crescimento, os reatores VPE devem ser abertos, os wafers removidos e as partes superior e inferior do reator fisicamente limpas. O processo de limpeza é realizado pelo operador.

O pré-lavador de quartzo dos reatores é movido fisicamente para fora do reator e colocado em uma pia exausta onde é purgado com N2, enxaguados com água e, em seguida, submersos em água régia. Isso é seguido por outro enxágue com água antes de secar a peça. A intenção do N.2 purgar é simplesmente deslocar o oxigênio devido à presença de fósforo pirofórico instável. Alguns resíduos contendo vários arsênicos e subprodutos contendo fósforo são deixados nessas peças mesmo após a purga e o enxágue com água. A reação entre esses resíduos e a mistura forte de oxidante/ácido pode potencialmente gerar quantidades significativas de AsH3 e algum PH3. Há também potencial de exposição com outros procedimentos de manutenção na área.

A parte inferior da câmara de reação de quartzo e a placa inferior (placa de base) são raspadas usando uma ferramenta de metal, e o material particulado (mistura de GaAs, GaAsP, óxidos de arsênico, óxidos de fósforo e gases hidretos aprisionados) é coletado em um metal recipiente posicionado abaixo do reator vertical. Um vácuo de alta eficiência é usado para a limpeza final.

Outra operação com potencial de exposição química é a limpeza do coletor do reator. A limpeza do sifão é feita raspando as partes de grafite da câmara superior, que possuem uma crosta de todos os subprodutos citados anteriormente mais o cloreto de arsênio. O procedimento de raspagem gera poeira e é realizado em pia ventilada para minimizar a exposição dos operadores. A linha de exaustão do processo, que contém todos os subprodutos mais a umidade que forma um resíduo líquido, é aberta e drenada para um recipiente de metal. O vácuo HEPA é usado para limpar as partículas de poeira que podem ter escapado durante a transferência das peças de grafite e do levantamento e abaixamento da redoma, que derruba as partículas soltas.

Deposição químico-vapor metalorgânica

MOCVD é amplamente utilizado na preparação de dispositivos III-V. Além dos gases hidreto usados ​​como materiais de origem em outros sistemas CVD (por exemplo, arsina e fosfina), alternativas líquidas menos tóxicas (por exemplo, butil arsina terciária e butil fosfina terciária) também são usadas em sistemas MOCVD, juntamente com outros tóxicos, como alquilas de cádmio e mercúrio (Conteúdo 1989; Rhoades, Sands e Mattera 1989; Roychowdhury 1991).

Enquanto VPE refere-se a um processo de deposição de material composto, MOCVD refere-se às fontes químicas originais usadas no sistema. Duas químicas são usadas: haletos e metalorgânicos. O processo VPE descrito acima é um processo de haleto. Um haleto do grupo III (gálio) é formado na zona quente e o composto III-V é depositado na zona fria. No processo metalorgânico para GaAs, trimetilgálio é medido na câmara de reação junto com arsina, ou uma alternativa líquida menos tóxica, como terciário butil arsina, para formar arsenieto de gálio. Um exemplo de uma reação típica de MOCVD é:

(CH3)3Ga + AsH3 → GaAs + 3CH4

Existem outras químicas usadas no processamento MOCVD de LEDs. Organometálicos usados ​​como elementos do grupo III incluem trimetil gálio (TMGa), trietil gálio (TEGa), TM índio, TE índio e TM alumínio. Gases hidretos também são utilizados no processo: 100% AsH3 e 100% PH3. Os dopantes utilizados no processo são: dimetil zinco (DMZ), bis-ciclopentadienil magnésio e seleneto de hidrogênio (H2Se). Esses materiais são reagidos dentro da câmara de reação sob um H de baixa pressão2 atmosfera. A reação produz camadas epitaxiais de AlGaAs, AlInGaP, InAsP e GaInP. Esta técnica tem sido tradicionalmente utilizada na fabricação de lasers semicondutores e dispositivos de comunicação óptica, como transmissores e receptores para fibra óptica. O processo AlInGaP é usado para produzir LEDs muito brilhantes.

Semelhante ao processo VPE, o reator MOCVD e a limpeza de peças apresentam desafios tanto para o processo quanto para o higienista ocupacional, especialmente se grandes quantidades de PH concentrado3 é usado no processo. A eficiência de “cracking” desses reatores não é tão grande quanto a dos reatores VPE. Há uma quantidade significativa de fósforo gerado, o que é um risco de incêndio. O procedimento de limpeza envolve o uso de peróxido de hidrogênio/hidróxido de amônio diluído em várias partes desses reatores, o que é um risco de explosão se, devido a erro do operador, uma solução concentrada for usada na presença de um catalisador metálico.

Fabricação de dispositivos

O wafer de GaAs com uma camada de GaAsP crescida epitaxialmente na superfície superior prossegue para a sequência de processamento de fabricação do dispositivo.

Deposição de nitreto

Um CVD de alta temperatura de nitreto de silício (Si3N4) é realizada, usando um forno de difusão padrão. As fontes gasosas são o silano (SiH4) e amônia (NH3) com um gás de arraste de nitrogênio.

processo fotolitográfico

O processo fotorresiste padrão, alinhamento/exposição, revelação e decapagem é utilizado como no processamento de dispositivos de silício (consulte a seção sobre litografia no artigo “Fabricação de semicondutores de silício”).

Água-forte

Várias misturas de soluções ácidas químicas úmidas são usadas em banhos de plástico em estações de corrosão localmente exauridas, algumas fornecidas com sistemas de alimentação laminares filtrados HEPA montados verticalmente. Os ácidos primários em uso são sulfúrico (H2SO4), fluorídrico (HF), clorídrico (HCl) e fosfórico (H3PO4). Como no processamento de silício, o peróxido de hidrogênio (H2O2) é usado com ácido sulfúrico e hidróxido de amônio (NH4OH) fornece uma corrosão cáustica. Uma solução de cianeto (sódio ou potássio) também é usada para gravar alumínio. No entanto, o ataque com cianeto está lentamente sendo eliminado, à medida que outros agentes de ataque são desenvolvidos para esse processo. Como alternativa à corrosão úmida, é usado um processo de corrosão por plasma e incineração. As configurações do reator e os gases reagentes são muito semelhantes aos utilizados no processamento de dispositivos de silício.

Distribuição

Uma difusão de fonte sólida de diarsenieto de zinco em ampola fechada é realizada em um forno de difusão a vácuo a 720°C, utilizando um N2 gás de arraste. Arsênico e arsenieto de zinco são usados ​​como dopantes. Eles são pesados ​​em um porta-luvas da mesma maneira que no substrato a granel.

Metalização

Uma evaporação inicial de alumínio é realizada utilizando um evaporador E-beam. Após a lapidação, uma última etapa de evaporação do ouro é realizada utilizando um evaporador de filamento.

liga

Uma etapa final de liga é realizada em um forno de difusão de baixa temperatura, utilizando uma atmosfera inerte de nitrogênio.

Retrocesso

A lapidação é feita para remover os materiais depositados (GaAsP, Si3N4 e assim por diante) da parte de trás do wafer. Os wafers são montados com cera em uma placa de lapidação e lapidados a úmido com uma pasta de sílica coloidal. Em seguida, a cera é removida por remoção úmida dos wafers em um decapante orgânico em uma estação de corrosão química úmida localmente esgotada. Outra alternativa ao polimento úmido é o polimento a seco, que utiliza “areia” de óxido de alumínio.

Há uma série de resistes e removedores de resistes usados, tipicamente contendo ácido sulfônico (ácido dodecil benzeno sulfônico), ácido lático, hidrocarboneto aromático, naftaleno e catecol. Alguns removedores resistentes contêm etanoato de butila, ácido acético e éster de butila. Existem resistências negativas e positivas e removedores de resistência usados, dependendo do produto.

Teste final

Como no processamento de dispositivos de silício, os circuitos de LED completos são testados e marcados por computador (consulte “Fabricação de semicondutores de silício”). A inspeção final é realizada e, em seguida, os wafers são testados eletricamente para marcar matrizes defeituosas. Uma serra úmida é então usada para separar as matrizes individuais, que são então enviadas para montagem.

 

Voltar

Placas de fiação impressas

Placas de fiação impressa (PWBs) são a estrutura elétrica interconectiva e a estrutura física que mantêm juntos os vários componentes eletrônicos de uma placa de circuito impresso. As principais categorias de PWBs são de face única, dupla face, multicamadas e flexíveis. A complexidade e os requisitos de espaçamento de placas cada vez mais densas e menores exigiram que ambos os lados da placa fossem cobertos com circuitos subjacentes. Placas de face única atendiam aos requisitos iniciais de calculadoras e dispositivos eletrônicos de consumo simples, mas notebooks portáteis, assistentes digitais pessoais e sistemas pessoais de música exigiam PWBs de dupla face e multicamadas. O processamento da padronização de PWBs é essencialmente um processo fotolitográfico que envolve depositar e remover seletivamente camadas de materiais em um substrato dielétrico que atua como a “fiação” elétrica que é gravada ou depositada na placa de fiação impressa.

As placas multicamadas contêm duas ou mais peças de material dielétrico com circuitos que são empilhados e ligados entre si. As conexões elétricas são estabelecidas de um lado para o outro, e para o circuito da camada interna, por orifícios perfurados que são posteriormente revestidos com cobre. O substrato dielétrico mais comumente usado são as folhas de fibra de vidro (epóxi/laminado de fibra de vidro). Outros materiais são o vidro (com resinas de poliimida, teflon ou triazina) e papel revestido com resina fenólica. Nos Estados Unidos, as placas laminadas são categorizadas com base em suas propriedades de extinção de incêndio; propriedades de furação, puncionamento e usinagem; propriedades de absorção de umidade; resistência química e térmica; e resistência mecânica (Sober 1995). O FR-4 (resina epóxi e substrato de tecido de vidro) é amplamente utilizado para aplicações de alta tecnologia.

O processo real de PWB envolve várias etapas e uma ampla variedade de agentes químicos. A Tabela 1 ilustra um processo multicamada típico e os problemas de EHS associados a esse processo. As principais diferenças entre uma placa de lado único e de lado duplo é que o lado único começa com matéria-prima revestida apenas em um lado com cobre e omite a etapa de revestimento de cobre sem eletrodos. A placa dupla face padrão tem uma máscara de solda sobre cobre nu e é revestida através dos orifícios; a placa tem contatos revestidos de ouro e uma legenda de componente. A maioria dos PWBs são placas multicamadas, que são dupla face com camadas internas que foram fabricadas e ensanduichadas dentro da embalagem laminada e depois processadas quase de forma idêntica a uma placa de camada dupla.

Tabela 1. Processo PWB: Questões ambientais, de saúde e segurança

Etapas primárias do processo

Problemas de saúde e segurança

Problemas ambientais

preparação de material

Compre laminado específico, material de entrada e placa de backup em tamanho pré-cortado
Layout de processamento assistido por computador

Projeto auxiliado por computador - VDU e riscos ergonômicos

nenhum

Empilhar e fixar

Painéis revestidos de cobre são empilhados com material de entrada e placa de backup; furos perfurados e
cavilha fixada.

Ruído durante a perfuração; perfuração de partículas contendo cobre, chumbo, ouro e epóxi/fibra de vidro

Resíduos particulados (cobre, chumbo, ouro e
epóxi/fibra de vidro)—reciclado ou recuperado

Perfuração

Máquinas de perfuração controladas numericamente (N/C)

Ruído durante a perfuração; perfuração de partículas contendo cobre, chumbo, ouro e epóxi/fibra de vidro

Resíduos particulados (cobre, chumbo, ouro e
epóxi/fibra de vidro)—reciclado ou recuperado

Rebarbar

Painéis perfurados passam por escovas ou roda abrasiva

Ruído durante a rebarbação; partículas contendo cobre, chumbo, ouro e epóxi/fibra de vidro

Resíduos particulados (cobre, chumbo, ouro e
epóxi/fibra de vidro)—reciclado ou recuperado

Revestimento de cobre sem eletrodos

Adicionando uma fina camada de cobre aos furos passantes
(processo de várias etapas)

Inalação e exposição dérmica a produtos de limpeza, condicionadores, ácidos, catalisadores—H2SO4, H2O2, éteres de glicol, KMnO4, NH4HF2, paládio, SnCl2, CuSO4, formaldeído, NaOH

Efluentes de água - ácidos, cobre, cáusticos,
fluoretos; emissões atmosféricas - gases ácidos,
formaldeído

Imagiologia

Filme seco resiste - fotopolímero sensível a UV
Resistente impresso em tela - emulsão sensível à luz
Resistente a líquidos—resiste a líquidos fotossensíveis

Inalação e exposição dérmica a resistes; desenvolvedores; e
removedores - resiste à base de borracha com solventes; N / D3PO4 e K2CO3; cloreto cúprico (Cl2 gás), monoetanolamina (MEA)

Emissões atmosféricas - solventes (VOCs), gases ácidos,
MEA; resíduos—líquidos

chapeamento padrão

Limpeza
Chapeamento de cobre
Revestimento de estanho ou estanho/chumbo
Decapagem de cremalheira

Inalação e perigos dérmicos da limpeza; chapeamento de cobre ou chapeamento de estanho/estanho e chumbo e decapagem de rack—H3PO4, H2SO4; H2SO4 e CuSO4; ácido fluorobórico e Sn/Pb; HNO concentrado3

Emissões atmosféricas—gases ácidos; agua
efluentes - ácidos, fluoretos, metais (cobre,
chumbo e estanho)

Tira, grava, tira

Tira de resistência
corrosão alcalina
Tira de cobre

Inalação e perigos dérmicos da tira de resistência; corrosão alcalina ou tira de cobre—monoetanol amina (MEA); NH4OH; NH4Cl/NH4OH ou NH4HF2

Emissões atmosféricas—MEA, amônia, fluoretos;
efluentes de água - amônia, fluoretos, metais
(cobre, chumbo e estanho), resistem a compostos

Máscara de solda

Tintas epóxi — serigrafia
Filmes secos - laminados para PWB
Tinta epóxi líquida para imagens fotográficas

Inalação e perigos dérmicos da pré-limpeza; tintas epóxi e veículos solventes; desenvolvedores—H2SO4; epicloridrina + bisfenol A, éteres de glicol (à base de PGMEA); gama-butirolactona. 

Luz UV do processo de cura

Emissões atmosféricas - gases ácidos, éteres de glicol
(VOCs); resíduos - solventes, tintas epóxi

Revestimento de solda

Nivelamento de solda

Inalação e riscos dérmicos de fluxo, produtos de decomposição e resíduos de solda de chumbo/estanho—éteres de glicol diluídos + <1% HCl e <1% HBr; aldeídos, HCl, CO; chumbo e estanho

Emissões atmosféricas—éteres de glicol (VOC), gases ácidos, aldeídos, CO; resíduos - solda de chumbo/estanho, fluxo

Revestimento de ouro e níquel

 

Inalação e perigos dérmicos de ácidos, metais e
cianetos—H2SO4, H.N.O.3, NiSO4, cianeto de ouro e potássio

Emissões atmosféricas—gases ácidos, cianetos; agua
emissões—ácidos, cianetos, metais;
resíduos - cianetos, metais

Legenda do componente

Captura de tela
Cura no forno

Inalação e riscos dérmicos de tintas à base de epóxi e veículos solventes - solventes à base de éter glicol, epicloridrina + bisfenol A

Emissões atmosféricas - resíduos de éteres de glicol (VOCs) - tintas e solventes (pequenas quantidades)

Cl2 = cloro gasoso; CO = monóxido de carbono; CuSO4 = sulfato de cobre; H2O2 = peróxido de hidrogênio;H2SO4 = ácido sulfúrico; H3PO4 = ácido fosfórico; HBR = ácido bromídrico; HCl = ácido clorídrico; HNO3 = ácido nítrico; k2CO3 = carbonato de potássio; KMNO4 = permanganato de potássio; N / D3PO4 = fosfato de sódio; NH4Cl = cloreto de amônio; NH4OH = hidróxido de amônio; NiSO4 = sulfato de níquel; Pb = chumbo; Sn = estanho; SnCl2 = cloreto estanoso; UV = ultravioleta; VOCs = compostos orgânicos voláteis.

 

Montagem da placa de circuito impresso

A montagem da placa de circuito impresso (PCB) envolve a fixação rígida de componentes eletrônicos ao PWB por meio do uso de solda de chumbo/estanho (em uma máquina de solda por onda ou aplicada como uma pasta e depois refluída em um forno de baixa temperatura) ou resinas epóxi ( curado em um forno de baixa temperatura). O PWB subjacente (face única, face dupla, multicamada ou flexível) determinará as densidades dos componentes que podem ser anexados. Numerosos problemas de processo e confiabilidade formam a base para a seleção dos processos de montagem de PCB que serão utilizados. Os principais processos tecnológicos são: tecnologia de montagem em superfície total (SMT), tecnologia mista (inclui SMT e furo passante revestido (PTH)) e fixação inferior.

Normalmente, em modernas instalações de montagem de eletrônicos/computadores, a tecnologia mista é utilizada, com alguns componentes sendo montados na superfície e outros conectores/componentes sendo soldados usando a tecnologia de furo passante ou refluxo de solda. Um processo de tecnologia mista "típico" é discutido abaixo, em que é utilizado um processo de montagem em superfície envolvendo fixação adesiva, solda por onda e solda por refluxo. Com a tecnologia mista, às vezes é possível refluir os componentes de montagem em superfície (SMCs) na parte superior de uma placa de dupla face e soldar os SMCs na parte inferior. Tal processo é particularmente útil quando as tecnologias de montagem em superfície e through-hole devem ser misturadas em uma única placa, que é a norma na fabricação de eletrônicos atuais. O primeiro passo é montar os SMCs na parte superior da placa, usando o processo de refluxo de solda. Em seguida, os componentes do furo passante são inseridos. A placa é então invertida e os SMCs inferiores são montados de forma adesiva na placa. A soldagem por onda dos componentes do orifício e dos SMCs inferiores é a etapa final.

As principais etapas técnicas do processo de tecnologia mista incluem:

  • pré e pós limpeza
  • pasta de solda e aplicação de adesivo (serigrafia e colocação (SMT e PTH))
  • inserção de componentes
  • cura adesiva e refluxo de solda
  • fluxo (PTH)
  • soldagem por onda (PTH)
  • inspeção e retoque
  • ensaio
  • retrabalhando e reparando
  • operações de suporte — limpeza de estêncil.

 

Uma breve discussão das importantes implicações ambientais, de saúde e segurança para cada etapa do processo é fornecida abaixo.

Pré e pós-limpeza

Os PWBs comerciais geralmente são adquiridos de um fornecedor de PWB e foram pré-limpos com solução de água deionizada (DI) para remover todos os contaminantes da superfície. Antes das preocupações com a destruição da camada de ozônio estratosférico, uma substância destruidora de ozônio, como o clorofluorcarbono (CFC), seria usada como limpeza final ou mesmo pré-limpeza pelo fabricante do dispositivo eletrônico. No final do processo de montagem do PCB, era comum o uso de uma operação de “desengorduramento a vapor” com clorofluorcarbono para remover os resíduos da operação de soldagem por fluxo/onda. Mais uma vez, devido a preocupações com a destruição do ozônio e rígidos controles regulatórios sobre a produção de CFCs, foram feitas alterações no processo que permitiram que os conjuntos PWB completos ignorassem a limpeza ou usassem apenas uma limpeza com água DI.

Aplicação de pasta de solda e adesivo (impressão e colocação de estêncil) e inserção de componentes

A aplicação de pasta de solda de chumbo/estanho na superfície do PWB permite que o componente de montagem em superfície seja anexado ao PWB e é a chave para o processo SMT. O material de solda atua como uma ligação mecânica para condução elétrica e térmica e como um revestimento para proteção de superfície e melhor soldabilidade. A pasta de solda é composta por aproximadamente 70 a 90% de matéria não volátil (peso por peso ou peso por volume):

  • solda de chumbo/estanho
  • uma mistura de resinas modificadas (ácidos colofônicos ou resina levemente ativada)
  • ativadores (no caso de produtos “no clean”, misturas de hidrohalogenetos de amina e ácidos ou apenas ácidos carboxílicos).

 

Solventes (matéria volátil) compõem o restante do produto (normalmente uma mistura de álcool e glicol éter que é uma mistura patenteada).

A pasta de solda é impressa através de um estêncil, que é um padrão exato do desenho da superfície a ser adicionada à superfície PWB. A pasta de solda é empurrada através das aberturas no estêncil para os locais de almofada no PWB por meio de um rodo que atravessa lentamente o estêncil. O estêncil é então retirado, deixando os depósitos de pasta nas almofadas apropriadas no quadro. Os componentes são então inseridos no PWB. Os principais perigos de EHS estão relacionados à limpeza e higiene pessoal dos operadores que aplicam a pasta de solda na superfície do estêncil, limpam o rodo e limpam os estênceis. A concentração de chumbo na solda e a tendência da pasta de solda seca de aderir à pele e às superfícies de trabalho do equipamento/instalação requer o uso de luvas de proteção, boa limpeza das superfícies de trabalho, descarte seguro de materiais de limpeza contaminados ( e manejo ambiental) e higiene pessoal rigorosa por parte dos operadores (por exemplo, lavar as mãos com sabão antes de comer, beber ou aplicar cosméticos). Os níveis de exposição no ar geralmente estão abaixo do limite de detecção de chumbo e, se forem usadas boas tarefas domésticas/higiene pessoal, as leituras de chumbo no sangue estarão em níveis de fundo.

A aplicação do adesivo envolve a distribuição automatizada de pequenas quantidades de uma resina epóxi (normalmente uma mistura de bisfenol A-epicloridrina) na superfície do PWB e, em seguida, “pegando e colocando” o componente e inserindo-o através da resina epóxi no PWB. Os perigos EHS referem-se principalmente aos riscos de segurança mecânica das unidades "pegar e colocar", devido aos seus conjuntos mecânicos automatizados, componentes de vaivém na parte traseira das unidades e potencial para ferimentos graves se a proteção apropriada, cortinas de luz e intertravamentos de hardware não forem presente.

Cura adesiva e refluxo de solda

Os componentes que foram fixados por impressão de estêncil ou aplicação de adesivo são então transportados em um transportador mecânico de altura fixa para um forno de refusão em linha que “desativa” a solda refluindo a pasta de solda a aproximadamente 200 a 400°C. Os componentes que foram fixados pelo adesivo epóxi também passam por um forno que está abaixo do refluxo da solda e normalmente é executado em 130 a 160oC. Os componentes solventes da pasta de solda e resina epóxi são removidos durante o processo de forno, mas o componente chumbo/estanho não é volatilizado. Um resíduo do tipo teia de aranha se acumulará no duto de exaustão do forno de refluxo e um filtro de malha de metal pode ser usado para evitar isso. Ocasionalmente, os PWBs podem ficar presos no sistema transportador e superaquecer no forno, causando odores indesejáveis.

Fluxo

Para formar uma junta de solda confiável na superfície do PWB e no terminal do componente, ambos devem estar livres de oxidação e devem permanecer assim mesmo em temperaturas elevadas usadas na soldagem. Além disso, a liga de solda fundida deve molhar as superfícies dos metais a serem unidos. Isso significa que o fluxo de solda deve reagir e remover os óxidos metálicos das superfícies a serem unidas e evitar a reoxidação das superfícies limpas. Também exige que os resíduos sejam não corrosivos ou facilmente removíveis. Os fluxos para soldagem de equipamentos eletrônicos se enquadram em três grandes categorias, comumente conhecidos como fluxos à base de resina, fluxos orgânicos ou solúveis em água e fluxos sintéticos removíveis por solvente. Os fluxos de compostos orgânicos não voláteis (NVOC) com baixo teor de sólidos e “não limpos” se enquadram na categoria intermediária.

Fluxos à base de resina

Os fundentes à base de resina são os fundentes mais utilizados na indústria eletrônica, seja como fluxo de pulverização or fluxo de espuma. O fluxador pode estar contido dentro do equipamento de solda por onda ou como uma unidade autônoma posicionada na alimentação da unidade. Como base, os fluxos à base de resina têm resina natural, ou colofonia, a resina translúcida de cor âmbar obtida após a destilação da terebintina a partir do oleorresina e da resina de canal dos pinheiros. A resina é coletada, aquecida e destilada, que remove quaisquer partículas sólidas, resultando em uma forma purificada do produto natural. É um material homogêneo com um único ponto de fusão.

A colofonia é uma mistura de aproximadamente 90% de ácido resinoso, que é principalmente ácido abiético (um ácido orgânico não solúvel em água) com 10% de materiais neutros, como derivados de estilbeno e vários hidrocarbonetos. A Figura 1 fornece as estruturas químicas dos ácidos abiético e pimárico.

Figura 1. Ácidos abiético e pimárico

MIC050F4

O constituinte ativo é o ácido abiético, que na temperatura de soldagem é quimicamente ativo e ataca o óxido de cobre na superfície do PWB, formando abieto de cobre. Os fundentes à base de colofónia têm três componentes: o solvente ou veículo, a colofónia e o ativador. O solvente simplesmente age como um veículo para o fluxo. Para ser eficaz, a resina deve ser aplicada à placa em estado líquido. Isso é conseguido dissolvendo a resina e o ativador em um sistema de solvente, normalmente álcool isopropílico (IPA) ou misturas multicomponentes de álcoois (IPA, metanol ou etanol). Em seguida, o fluxo é espumado na superfície inferior do PCB por meio da adição de ar ou nitrogênio ou pulverizado em uma mistura de “baixo teor de sólidos” que possui um teor de solvente mais alto. Esses componentes do solvente têm taxas de evaporação diferentes e um diluente deve ser adicionado à mistura do fundente para manter a composição constituinte do fundente. As principais categorias de fundentes à base de resina são: resina levemente ativa (RMA), que são os fluxos típicos em uso, aos quais se adiciona um ativador suave; e resina ativa (RA), ao qual foi adicionado um ativador mais agressivo.

O principal perigo de EHS de todos os fluxos à base de resina é a base de solvente de álcool. Os riscos de segurança estão relacionados à inflamabilidade no armazenamento e uso, classificação e manuseio como resíduo perigoso, emissões atmosféricas e sistemas de tratamento necessários para remover os VOCs e questões de higiene industrial relacionadas à inalação e exposição da pele (dérmica). Cada um desses itens requer uma estratégia de controle diferente, educação e treinamento dos funcionários e licenças/conformidade regulamentar (Association of the Electronics, Telecommunication and Business Equipment Industries 1991).

Durante o processo de soldagem por onda, o fluxo é aquecido de 183 a 399°C; produtos aerotransportados gerados incluem aldeídos alifáticos, como o formaldeído. Muitos fluxos também contêm um ativador de cloridrato de amina orgânica, que ajuda a limpar a área a ser soldada e libera ácido clorídrico quando aquecido. Outros componentes gasosos incluem benzeno, tolueno, estireno, fenol, clorofenol e álcool isopropílico. Além dos componentes gasosos do fluxo aquecido, uma quantidade significativa de partículas é criada, variando em tamanho de 0.01 mícron a 1.0 mícron, conhecido como vapores de colofonia. Verificou-se que esses materiais particulados são irritantes respiratórios e também sensibilizadores respiratórios em indivíduos sensíveis (Hausen, Krohn e Budianto 1990). No Reino Unido, os padrões de exposição aérea exigem que os níveis de vapores de colofonia sejam controlados para os níveis mais baixos possíveis (Health and Safety Commission 1992). Além disso, a Conferência Americana de Higienistas Industriais Governamentais (ACGIH) estabeleceu um valor limite separado para os produtos de pirólise da solda de núcleo de resina de 0.1 mg/m3, medido como formaldeído (ACGIH 1994). A Lead Industries Association, Inc. identifica acetona, álcool metílico, aldeídos alifáticos (medidos como formaldeído), dióxido de carbono, monóxido de carbono, metano, etano, ácido abiético e ácidos diterpenos relacionados como produtos de decomposição típicos de solda de núcleo de resina (Lead Industries Association 1990 ).

fluxos orgânicos

Fluxos orgânicos, às vezes chamados de fluxos intermediários ou fluxos solúveis em água, são compostos que são mais ativos do que os fluxos à base de resina e menos corrosivos do que os fluxos ácidos usados ​​nas indústrias metalúrgicas. Os compostos ativos gerais desta classe de fluxos se enquadram em três grupos:

  • ácidos (por exemplo, esteárico, glutâmico, láctico, cítrico)
  • halogênios (por exemplo, cloridratos, brometos, hidrazina)
  • amidas e aminas (por exemplo, ureia, trietanolamina).

 

Esses materiais e outras partes da formulação, como surfactantes para auxiliar na redução da tensão superficial da solda, são dissolvidos em polietileno glicol, solventes orgânicos, água ou geralmente uma mistura de vários deles. Fluxos orgânicos devem ser considerados corrosivos, mas podem ser limpos facilmente, com apenas água quente.

Fluxos sintéticos ativados (AS)

Enquanto os fluxos à base de resina são materiais sólidos dissolvidos em um solvente, os fluxos AS geralmente são fórmulas totalmente líquidas (solvente + fluxo). O portador de solvente é removido durante a fase de pré-aquecimento da soldagem por onda, deixando um resíduo úmido e oleoso na superfície do PWB, que deve ser limpo imediatamente após a soldagem. O principal atributo dos fluxos AS é sua capacidade de serem removidos pelo uso de um solvente adequado, normalmente à base de fluorocarbono. Com restrições ao uso de substâncias que destroem a camada de ozônio, como fluorocarbonetos (Freon TF, Freon TMS e assim por diante), o uso obrigatório desses materiais de limpeza restringiu severamente o uso dessa classe de fluxos.

Baixo teor de sólidos “não limpo” ou fluxos não VOC

A necessidade de eliminar a limpeza pós-solda de resíduos de fluxo corrosivos ou pegajosos com solventes de fluorocarbono levou ao uso generalizado de uma nova classe de fluxos. Esses fluxos são semelhantes em atividade aos fluxos RMA e têm um teor de sólidos de aproximadamente 15%. O teor de sólidos é uma medida de viscosidade e é igual à proporção de fluxo para solvente. Quanto menor o teor de sólidos, maior a porcentagem de solvente. Quanto maior o teor de sólidos, mais ativo o fluxo e maior o potencial para a necessidade de uma etapa de limpeza pós-solda. O fluxo de baixo teor de sólidos (LSF) é comumente usado na indústria eletrônica e normalmente não requer a etapa de pós-limpeza. Do ponto de vista da emissão atmosférica ambiental, o LSF eliminou a necessidade de desengorduramento de vapor de fluorocarbono de placas soldadas por onda, mas com seu maior teor de solvente, eles aumentaram a quantidade de solventes à base de álcool evaporados, resultando em níveis mais altos de VOC. Os níveis de emissão de VOC no ar são rigidamente controlados nos Estados Unidos e em muitos locais em todo o mundo. Esta situação foi abordada pela introdução de fundentes “não limpos”, que são à base de água (em vez de à base de solvente), mas contêm ativadores e resinas fundentes semelhantes. Os principais ingredientes ativos são à base de ácido dicarboxílico (2 a 3%), tipicamente ácidos glutárico, succínico e adípico. surfactantes e inibidores de corrosão (aproximadamente 1%) também estão incluídos, resultando em um pH (acidez) de 3.0 a 3.5. Esses fluxos praticamente eliminam as emissões atmosféricas de VOC e outros perigos EHS associados ao uso de fluxos à base de solvente. Os produtos de decomposição observados em fluxos à base de resina ainda são aplicáveis, e o pH suave exige que o equipamento de manuseio do fluxo seja resistente a ácidos. Algumas evidências anedóticas apontam para possíveis problemas dérmicos ou respiratórios devido aos ácidos dicarboxílicos levemente ácidos secos e inibidores de corrosão que podem se tornar um resíduo a bordo de transportadores, carrinhos e superfícies internas de equipamentos de solda por onda que utilizam esses compostos. Além disso, o componente de água desses fluxos pode não evaporar adequadamente antes de atingir o pote de solda derretida, o que pode levar a respingos da solda quente.

Soldadura em onda

A adição de fluxo à superfície inferior do PWB pode ser realizada por um fluxador localizado dentro da unidade de solda por onda ou por uma unidade autônoma na entrada da unidade de solda por onda. A Figura 2 fornece uma representação esquemática de uma unidade de solda por onda padrão com o fluxador localizado internamente. Qualquer configuração é usada para espumar ou pulverizar o fluxo no PWB.

Figura 2. Esquema da unidade de solda por onda

MICO50F5

Pré-aquecimento

Os portadores de fluxo devem ser evaporados antes da soldagem. Isso é feito usando pré-aquecedores de alta temperatura para remover os componentes líquidos. Dois tipos básicos de pré-aquecedores estão em uso: radiante (hot rod) e volumétrico (ar quente). Os aquecedores radiantes são comuns nos Estados Unidos e apresentam o potencial de ignição do excesso de fluxo ou solvente ou a decomposição de um PWB caso fique imobilizado sob o pré-aquecedor. Ventilação de exaustão local é fornecida no lado do fundente/pré-aquecedor da unidade de solda por onda para capturar e esgotar os materiais de solvente/fluxo evaporados durante essas operações.

De solda

A liga de solda (normalmente 63% de estanho para 37% de chumbo) está contida em um grande reservatório chamado pote de solda, e é aquecido eletricamente para manter a solda em estado fundido. Os aquecedores incluem um poderoso aquecedor a granel para fazer o derretimento inicial e um suprimento menor de calor regulado para controlar a temperatura termostaticamente.

A soldagem bem-sucedida no nível da placa requer que o projeto do pote de solda e os sistemas de bomba de recirculação forneçam continuamente uma “onda” consistente de solda fresca. Com a soldagem, a solda pura fica contaminada com compostos oxidados de chumbo/estanho, impurezas metálicas e produtos de decomposição do fluxo. Esse escória forma-se na superfície da solda fundida, e quanto mais escória for formada, maior será a tendência para formação adicional. A escória é prejudicial ao processo de soldagem e à onda de solda. Se o suficiente se formar no pote, ele pode ser puxado para a bomba de recirculação e causar abrasão no impulsor. Os operadores de solda por onda são obrigados a remover a escória a onda de forma rotineira. Este processo envolve o operador filtrando a escória solidificada da solda fundida e coletando os resíduos para recuperação/reciclagem. O processo de remoção de escória envolve o operador abrindo fisicamente a porta de acesso traseira (normalmente uma configuração de asa de golfo) adjacente ao pote de solda e retirando manualmente a escória quente. Durante este processo, são liberadas emissões visíveis do pote que são altamente irritantes para os olhos, nariz e garganta do operador. O operador é obrigado a usar luvas térmicas, avental, óculos de segurança e protetor facial e proteção respiratória (para partículas de chumbo/estanho, gases corrosivos (HCl) e aldeído alifático (formaldeído)). Ventilação de exaustão local é fornecida do interior da unidade de solda por onda, mas o pote de solda é retirado mecanicamente do gabinete principal para permitir que o operador tenha acesso direto a ambos os lados do pote quente. Uma vez retirado, o duto de exaustão local que está montado no gabinete torna-se ineficaz para a remoção dos materiais liberados. Os principais riscos de saúde e segurança são: queimaduras térmicas de solda quente, exposição respiratória a materiais mencionados acima, lesões nas costas devido ao manuseio de lingotes de solda pesados ​​e tambores de escória e exposição a resíduos de solda de chumbo/estanho/partículas finas durante atividades de manutenção.

Durante o processo de soldagem real, as portas de acesso são fechadas e o interior da unidade de solda por onda está sob pressão negativa devido à ventilação de exaustão local fornecida nos lados do fluxo e do pote de solda da onda. Essa ventilação e as temperaturas de operação do pote de solda (normalmente 302 a 316°C, que está logo acima do ponto de fusão da solda), resultam na formação mínima de vapores de chumbo. A exposição primária ao particulado de chumbo/estanho ocorre durante as atividades de remoção de escória e manutenção de equipamentos, desde a agitação da escória no pote, transferência para o recipiente de recuperação e limpeza de resíduos de solda. Partículas finas de chumbo/estanho são formadas durante a operação de remoção de escória e podem ser liberadas na sala de trabalho e na zona de respiração do operador de solda por onda. Várias estratégias de controle de engenharia foram concebidas para minimizar essas exposições potenciais a partículas de chumbo, incluindo a incorporação de ventilação de exaustão local ao recipiente de recuperação (consulte a figura 3), uso de aspiradores HEPA para limpeza de resíduos e dutos de exaustão flexíveis com braços articulados para posicionar ventilação na panela quente durante a remoção de escória. Deve ser proibido o uso de vassouras ou escovas para varrer resíduos de solda. Práticas rigorosas de limpeza e higiene pessoal também devem ser exigidas. Durante as operações de manutenção do equipamento de solda por onda (que são feitas semanalmente, mensalmente, trimestralmente e anualmente), vários componentes da panela quente são limpos dentro do equipamento ou removidos e limpos em um exaustor local. Essas operações de limpeza podem envolver raspagem física ou limpeza mecânica (usando uma furadeira elétrica e uma escova de aço) a bomba de solda e os defletores. Altos níveis de partículas de chumbo são gerados durante o processo de limpeza mecânica, e o processo deve ser realizado em um invólucro exausto localmente.

Figura 3. Carrinho de escória com tampa a vácuo

MIC050F6

Inspeção, retoque e testes

As funções de inspeção visual e retoque são realizadas após a soldagem por onda e envolvem o uso de lentes de aumento/luzes de tarefa para inspeção fina e retoque de imperfeições. A função de retoque pode envolver o uso de um solda em bastão ferro de solda manual e solda de núcleo de resina ou pincelar uma pequena quantidade de fluxo líquido e solda de fio de chumbo/estanho. Os vapores visuais da solda do bastão envolvem produtos de decomposição do fluxo. Pequenas quantidades de cordão de solda de chumbo/estanho que não aderiram à junta de solda podem representar um problema de limpeza e higiene pessoal. Deve ser fornecido um ventilador adjacente à estação de trabalho para ventilação de diluição geral longe da zona de respiração do operador ou um sistema de exaustão de fumaça mais sofisticado que capture os produtos de degradação na ponta do ferro de solda ou adjacente à operação. Os vapores são então encaminhados para um sistema de exaustão de purificador de ar que incorpora filtragem HEPA para partículas e adsorção de gás de carbono ativado para aldeídos alifáticos e gases de ácido clorídrico. A eficácia desses sistemas de exaustão de solda é altamente dependente das velocidades de captura, proximidade do ponto de geração de fumaça e falta de correntes cruzadas na superfície de trabalho. O teste elétrico do PCB concluído requer equipamento e software de teste especializados.

Retrabalhando e reparando

Com base nos resultados do teste da placa, as placas defeituosas são avaliadas quanto a falhas de componentes específicos e substituídas. Este retrabalho das placas pode envolver solda em bastão. Se os componentes primários do PCB, como o microprocessador, precisarem ser substituídos, um pote de solda de retrabalho é usado para imergir a parte da placa que abriga o componente ou junta defeituosa em um pequeno pote de solda, removendo o componente e, em seguida, inserindo um novo componente funcional de volta na placa. Se o componente for menor ou mais facilmente removido, um aspirador de ar sistema que utiliza ar quente para aquecer a junta de solda e vácuo para remover a solda é empregado. O pote de solda retrabalhado é alojado dentro de um invólucro exausto localmente que fornece velocidade de exaustão suficiente para capturar os produtos de decomposição de fluxo formados quando a solda líquida é escovada na placa e o contato da solda é feito. Este pote também forma escória e requer equipamentos e procedimentos de remoção de escória (em uma escala muito menor). O sistema de aspiração de ar não precisa ser alojado dentro de um gabinete, mas a solda de chumbo/estanho removida deve ser tratada como resíduo perigoso e recuperada/reciclada.

Operações de suporte — limpeza de estêncil

A primeira etapa no processo de montagem do PCB envolveu o uso de um estêncil para fornecer o padrão de locais de ligação para a pasta de solda de chumbo/estanho a ser passada. Normalmente, as aberturas do estêncil começam a ficar entupidas e os resíduos de pasta de solda de chumbo/estanho devem ser removidos a cada turno. Uma pré-limpeza geralmente é realizada na impressora de tela para capturar contaminação grosseira no cartão, limpando a superfície do cartão com uma mistura de álcool diluído e lenços descartáveis. Para remover completamente os resíduos restantes, é necessário um processo de limpeza úmida. Em um sistema semelhante a uma grande máquina de lavar louça, água quente (57°C) e uma solução química de aminas alifáticas diluídas (monoetanol amina) são usadas para remover quimicamente a pasta de solda do estêncil. Quantidades significativas de solda de chumbo/estanho são lavadas da placa e depositadas na câmara de lavagem ou em solução no efluente de água. Este efluente requer filtração ou remoção química de chumbo e ajuste de pH para as aminas alifáticas corrosivas (usando ácido clorídrico). Os limpadores de estêncil de sistema fechado mais recentes utilizam a mesma solução de lavagem até que ela seja gasta. A solução é transferida para uma unidade de destilação e os voláteis são destilados até formar um resíduo semilíquido. Este resíduo é então tratado como um resíduo perigoso contaminado com chumbo/estanho.

Processo de montagem do computador

Depois que o PCB final é montado, ele é transferido para a operação de montagem de sistemas para incorporação no produto final do computador. Esta operação é tipicamente muito trabalhosa, com os componentes a serem montados fornecidos para as estações de trabalho individuais em carrinhos de preparação ao longo da linha de montagem mecanizada. Os principais riscos à saúde e à segurança estão relacionados à movimentação e preparação de materiais (empilhadeiras, levantamento manual), implicações ergonômicas do processo de montagem (amplitude de movimento, força de inserção necessária para “fixar” componentes, instalação de parafusos e conectores) e embalagem final , encolher embalagem e transporte. Um processo típico de montagem de computador envolve:

  • preparação de chassi/caixa
  • Inserção de PCB (placa mãe e filha)
  • componente primário (unidade de disquete, disco rígido, fonte de alimentação, unidade de CD-ROM) inserção
  • montagem da tela (somente portáteis)
  • inserção de mouse e teclado (somente portáteis)
  • cabeamento, conectores e alto-falantes
  • montagem da tampa superior
  • download de software
  • teste
  • retrabalho
  • carregamento da bateria (somente portáteis) e embalagem
  • encolher embalagem e transporte.

 

Os únicos produtos químicos que podem ser usados ​​no processo de montagem envolvem a limpeza final do gabinete ou monitor do computador. Normalmente, é usada uma solução diluída de álcool isopropílico e água ou uma mistura comercial de produtos de limpeza (por exemplo, Simple Green - uma solução diluída de butil celosolve e água).

 

Voltar

Sábado, abril 02 2011 18: 56

Efeitos na saúde e padrões de doenças

Como uma indústria emergente, a fabricação de semicondutores muitas vezes é vista como o epítome do local de trabalho de alta tecnologia. Devido aos rigorosos requisitos de fabricação associados à produção de múltiplas camadas de circuitos eletrônicos microdimensionais em pastilhas de silício, o ambiente de sala limpa tornou-se sinônimo de local de trabalho para esta indústria. Uma vez que alguns dos gases hidreto usados ​​na fabricação de semicondutores (por exemplo, arsina, fosfina) foram reconhecidos cedo como produtos químicos altamente tóxicos, a tecnologia de controle de exposição por inalação sempre foi um componente importante da fabricação de wafer. Os trabalhadores de semicondutores são ainda mais isolados do processo de produção usando roupas especiais que cobrem todo o corpo (por exemplo, batas), capas de cabelo, capas de sapatos e, frequentemente, máscaras faciais (ou mesmo dispositivos respiratórios com suprimento de ar). Do ponto de vista prático, as preocupações dos empregadores com a pureza do produto resultaram, também, na proteção da exposição do trabalhador.

Além de roupas de proteção individual, sistemas altamente sofisticados de ventilação e monitoramento químico/gás do ar são usados ​​em toda a indústria de semicondutores para detectar vazamentos de vapores de solventes químicos tóxicos, ácidos e gases hidretos em partes por milhão (ppm) ou menos. Embora, do ponto de vista histórico, a indústria tenha vivenciado freqüentes evacuações de trabalhadores das salas de fabricação de wafer, com base em vazamentos reais ou suspeitos de gases ou solventes, tais episódios de evacuação tornaram-se eventos raros devido às lições aprendidas no projeto de sistemas de ventilação, gases tóxicos /manipulação química e sistemas de monitoramento de ar cada vez mais sofisticados com amostragem contínua de ar. No entanto, o valor monetário crescente de wafers de silício individuais (juntamente com o aumento dos diâmetros dos wafers), que podem conter dezenas de microprocessadores individuais ou dispositivos de memória, pode causar estresse mental nos trabalhadores que devem manipular manualmente os recipientes desses wafers durante os processos de fabricação. A evidência de tal estresse foi obtida durante um estudo de trabalhadores de semicondutores (Hammond et al. 1995; Hines et al. 1995; McCurdy et al. 1995).

A indústria de semicondutores teve seu início nos Estados Unidos, que tem o maior número de trabalhadores da indústria de semicondutores (aproximadamente 225,000 em 1994) de qualquer país (BLS 1995). No entanto, obter estimativas válidas de emprego internacional para esta indústria é difícil devido à inclusão de trabalhadores de semicondutores com trabalhadores de “fabricação de equipamentos elétricos/eletrônicos” nas estatísticas da maioria das nações. Devido aos controles de engenharia altamente rigorosos necessários para a fabricação de dispositivos semicondutores, é mais provável que os locais de trabalho de semicondutores (ou seja, salas limpas) sejam comparáveis, em muitos aspectos, em todo o mundo. Esse entendimento, juntamente com os requisitos do governo dos EUA para registrar todas as lesões e doenças relacionadas ao trabalho significativas entre os trabalhadores dos EUA, torna a experiência de lesões e doenças de trabalho dos trabalhadores de semicondutores dos EUA uma questão altamente relevante em escala nacional e internacional. Simplificando, neste momento existem poucas fontes internacionais de informações e dados relevantes sobre segurança e experiência em saúde do trabalhador com semicondutores, além daquelas da Pesquisa Anual de Lesões e Doenças Ocupacionais do Bureau of Labor Statistics (BLS) dos EUA.

Nos Estados Unidos, que coleta dados de lesões e doenças do trabalho em todas as indústrias desde 1972, a frequência de lesões e doenças relacionadas ao trabalho entre os trabalhadores de semicondutores está entre as mais baixas de todas as indústrias manufatureiras. No entanto, foram expressas preocupações de que efeitos de saúde mais sutis possam estar presentes entre os trabalhadores de semicondutores (LaDou 1986), embora tais efeitos não tenham sido documentados.

Vários simpósios foram realizados sobre avaliação de tecnologia de controle na indústria de semicondutores, com vários dos simpósios lidando com questões ambientais e de segurança e saúde do trabalhador (ACGIH 1989, 1993).

Uma quantidade limitada de dados de acidentes de trabalho e doenças para a comunidade internacional de fabricação de semicondutores foi obtida por meio de uma pesquisa especial realizada em 1995, envolvendo casos relatados nos anos de 1993 e 1994. Esses dados da pesquisa estão resumidos abaixo.

Lesões e doenças do trabalho entre trabalhadores de semicondutores

No que diz respeito aos dados estatísticos internacionais associados a acidentes de trabalho e doenças entre trabalhadores de semicondutores, os únicos dados comparáveis ​​parecem ser aqueles derivados de uma pesquisa de operações multinacionais de fabricação de semicondutores realizada em 1995 (Lassiter 1996). Os dados coletados nesta pesquisa envolveram as operações internacionais de fabricantes de semicondutores sediados nos Estados Unidos nos anos de 1993-94. Alguns dos dados da pesquisa incluíram outras operações além da fabricação de semicondutores (por exemplo, fabricação de computadores e unidades de disco), embora todas as empresas participantes estivessem envolvidas na indústria eletrônica. Os resultados dessa pesquisa são apresentados na figura 1 e na figura 2, que incluem dados da região Ásia-Pacífico, Europa, América Latina e Estados Unidos. Cada caso envolveu uma lesão ou doença relacionada ao trabalho que exigiu tratamento médico ou perda ou restrição do trabalho. Todas as taxas de incidência nas figuras foram calculadas como números de casos (ou dias de trabalho perdidos) por 200,000 horas de trabalho por ano. Se o total de horas trabalhadas não estivesse disponível, as estimativas médias anuais de emprego eram usadas. O denominador de 200,000 horas de trabalho é igual a 100 trabalhadores equivalentes a tempo integral por ano (assumindo 2,000 horas de trabalho por trabalhador por ano).

Figura 1. Distribuição das taxas de incidência de lesões e doenças do trabalho por setor mundial, 1993 e 1994.

MIC060F6

Figura 2. Distribuição das taxas de incidência de Lesões e doenças com afastamento do trabalho por setor mundial 1993 e 1994

MIC060F7

A Figura 1 mostra as taxas de incidência de doenças e acidentes de trabalho para as várias regiões do mundo na pesquisa de 1993-94. As taxas de cada país não foram incluídas para garantir a confidencialidade das empresas participantes que eram as únicas fontes de dados para determinados países. Portanto, para alguns países da pesquisa, os dados foram relatados para apenas uma única instalação. Em vários casos, as empresas combinaram todos os dados internacionais em uma única estatística. Esses últimos dados estão listados na figura 1 e na figura 2 como “Combinados”.

A incidência anual de lesões e doenças de trabalho entre todos os trabalhadores na pesquisa internacional foi de 3.3 casos por 100 empregados (200,000 horas trabalhadas) em 1993 e 2.7 em 1994. Houve 12,615 casos notificados em 1993 e 12,368 em 1994. A grande maioria dos casos (12,130 em 1993) foram provenientes de empresas norte-americanas. Esses casos foram associados a aproximadamente 387,000 trabalhadores em 1993 e 458,000 em 1994.

A Figura 2 apresenta as taxas de incidência de casos de dias de trabalho perdidos envolvendo dias de afastamento. As taxas de incidência de 1993 e 1994 foram baseadas em aproximadamente 4,000 casos de dias de trabalho perdidos para cada um dos 2 anos da pesquisa internacional. A variação internacional/regional nas taxas de incidência para esta estatística foi a mais estreita daquelas medidas. A incidência de casos de dias de trabalho perdidos pode representar as estatísticas internacionais mais comparáveis ​​no que diz respeito à experiência em segurança e saúde do trabalhador. A taxa de incidência de dias perdidos (dias de afastamento) foi de aproximadamente 15.4 dias de afastamento por 100 trabalhadores em cada um dos 2 anos.

Os únicos dados detalhados conhecidos sobre características de casos de lesões e doenças de trabalhadores de semicondutores são aqueles compilados anualmente nos EUA pelo BLS, envolvendo casos com dias de trabalho perdidos. Os casos discutidos aqui foram identificados pelo BLS em sua pesquisa anual para o ano de 1993. Os dados obtidos desses casos aparecem na figura 3, figura 4, figura 5 e figura 6. Cada figura compara a experiência de casos de dias de trabalho perdidos para o setor privado, toda a fabricação e fabricação de semicondutores.

Figura 3. Incidência comparativa de casos de afastamentos1 por tipo de evento ou exposição, 1993

MIC060F2

Figura 4. Incidência comparativa de casos de dias perdidos1 por fonte de lesão ou doença, 1993.

MIC060F3

Figura 5. Incidência comparativa de casos de dias perdidos1 por natureza de lesão ou doença, 1993.

MIC060F4

Figura 6. Incidência comparativa de casos de afastamento por parte do corpo afetada, 1993

MIC060F5

A Figura 3 compara a experiência de casos de dias de trabalho perdidos de trabalhadores de semicondutores dos EUA em 1993 com o setor privado e com toda a manufatura em relação ao tipo de evento ou exposição. As taxas de incidência para a maioria das categorias nesta figura foram muito menores para os trabalhadores da indústria de semicondutores do que para o setor privado ou toda a manufatura. Casos envolvendo esforços excessivos entre os trabalhadores de semicondutores foram menos da metade da taxa para todos os trabalhadores do setor manufatureiro. A categoria de exposição nociva (principalmente associada a exposições a substâncias químicas) foi equivalente entre os três grupos.

A figura 4 apresenta distribuições comparativas de casos de dias de trabalho perdidos de acordo com a origem da lesão ou doença. substâncias.

A Figura 5 compara as taxas de incidência de dias de trabalho perdidos associados à natureza da lesão ou doença entre os três grupos. As taxas para trabalhadores de semicondutores foram menos da metade das taxas para o setor privado e para toda a manufatura em 1993. A incidência de queimaduras químicas foi ligeiramente maior para trabalhadores de semicondutores, mas foi muito baixa para todos os três grupos de comparação. A incidência da síndrome do túnel do carpo (CTS) entre os trabalhadores de semicondutores dos EUA foi menos da metade da taxa de todas as manufaturas.

Na figura 6, está ilustrada a distribuição e incidência dos casos de afastamento do trabalho segundo a parte do corpo acometida. Embora a incidência de casos envolvendo sistemas corporais tenha sido baixa para todos os grupos de comparação, a taxa para trabalhadores de semicondutores foi ligeiramente elevada. Todas as outras partes do corpo afetadas foram muito menores para os trabalhadores de semicondutores do que para os outros dois grupos de comparação.

Estudos epidemiológicos de trabalhadores de semicondutores

A preocupação com as possíveis consequências para a saúde reprodutiva associadas ao emprego no semicondutor surgiu em 1983, quando uma funcionária da instalação de semicondutores da Digital Equipment Corporation em Hudson, Massachusetts, indicou que acreditava que havia ocorrido um excesso de abortos espontâneos entre os funcionários nas salas limpas da instalação. Essa alegação, aliada à ausência de dados internos na instalação, levou a um estudo epidemiológico da Escola de Saúde Pública da Universidade de Massachusetts em Amherst (UMass). O estudo foi iniciado em maio de 1984 e concluído em 1985 (Pastides et al. 1988).

Observou-se um risco elevado de aborto espontâneo tanto na área fotolitográfica quanto na área de difusão quando comparadas com trabalhadoras não expostas em outras áreas da instalação. O risco relativo de 1.75 foi considerado estatisticamente não significativo (p < 0.05), embora o risco relativo de 2.18 observado entre os trabalhadores das áreas de difusão tenha sido significativo. A publicação do estudo da UMass levou a preocupação em toda a indústria de semicondutores de que um estudo maior fosse necessário para validar as descobertas observadas e determinar sua extensão e possível causa.

A Associação da Indústria de Semicondutores (SIA) dos Estados Unidos patrocinou um estudo maior realizado pela Universidade da Califórnia em Davis (UC Davis) a partir de 1989. O estudo da UC Davis foi projetado para testar a hipótese de que a fabricação de semicondutores estava associada a um risco aumentado de aborto espontâneo para funcionárias de fabricação de wafers. A população do estudo foi selecionada entre 14 empresas que representavam 42 unidades produtivas em 17 estados. O maior número de sites (representando quase metade dos funcionários do estudo) foi na Califórnia.

O estudo da UC Davis consistiu em três componentes diferentes: um componente transversal (McCurdy et al. 1995; Pocekay et al. 1995); um componente de coorte histórica (Schenker et al. 1995); e um componente prospectivo (Eskenazi et al. 1995). O ponto central de cada um desses estudos foi uma avaliação da exposição (Hines et al. 1995; Hammond et al. 1995). O componente de avaliação de exposição atribuiu funcionários a um grupo de exposição relativa (ou seja, alta exposição, baixa exposição e assim por diante).

No componente histórico do estudo, foi determinado que o risco relativo de trabalhadores de fabricação, em comparação com trabalhadores de não fabricação, era de 1.45 (ou seja, 45% de excesso de risco de aborto espontâneo). O grupo de maior risco identificado no componente histórico do estudo foram mulheres que trabalhavam em fotolitografia ou operações de ataque químico. As mulheres que realizam operações de ataque químico experimentaram um risco relativo de 2.15 (RR=2.15). Além disso, foi observada uma relação dose-resposta entre as mulheres que trabalharam com qualquer fotorresistente ou revelador com relação ao aumento do risco de aborto espontâneo. Esses dados apoiaram uma associação dose-resposta para éteres de etileno glicol (EGE), mas não para éteres de propileno glicol (PGE).

Embora um risco aumentado de aborto espontâneo tenha sido observado entre as trabalhadoras de fabricação de wafer no componente prospectivo do estudo da UC Davis, os resultados não foram estatisticamente significativos (p menor que 0.05). Um pequeno número de gestações reduziu significativamente o poder do componente prospectivo do estudo. A análise por exposição ao agente químico indicou risco aumentado para as mulheres que trabalhavam com éter monoetílico de etileno glicol, mas baseou-se em apenas 3 gestações. Uma descoberta importante foi o apoio geral, e não a contradição, das descobertas do componente histórico.

O componente transversal do estudo observou um aumento nos sintomas respiratórios superiores principalmente nos grupos de trabalhadores do forno de difusão e película fina. Uma descoberta interessante foram os aparentes efeitos protetores de vários controles de engenharia relacionados à ergonomia (por exemplo, apoios para os pés e o uso de uma cadeira ajustável para reduzir lesões nas costas).

As medições de ar feitas nas fábricas de wafer descobriram que a maioria das exposições a solventes era inferior a 1% dos limites de exposição permitidos (PEL) estabelecidos pelo governo dos EUA.

Um estudo epidemiológico separado (Correa et al. 1996) foi realizado pela Universidade Johns Hopkins (JHU), envolvendo um grupo de funcionários de semicondutores da IBM Corporation em 1989. A taxa geral de aborto espontâneo observada no estudo da JHU envolvendo funcionárias de salas limpas foi de 16.6%. O risco relativo de aborto espontâneo entre trabalhadoras de salas limpas com o maior potencial de exposição a éteres de etileno glicol foi de 2.8 (95% CI = 1.4-5.6).

Discussão de estudos epidemiológicos reprodutivos envolvendo trabalhadores de semicondutores

Os estudos epidemiológicos foram notáveis ​​na abrangência e na similaridade dos resultados. Todos esses estudos produziram resultados semelhantes. Cada estudo documentou um risco excessivo de aborto espontâneo (aborto espontâneo) para trabalhadoras de fabricação de semicondutores. Dois dos estudos (JHU e UC Davis) podem indicar uma associação causal com exposições a éteres de glicol à base de etileno. O estudo da UMass descobriu que o grupo fotográfico (aqueles expostos ao glicol éter) apresentava menos risco do que o grupo de difusão, que não tinha nenhuma exposição documentada ao glicol éter. Embora esses estudos indiquem um risco aumentado de abortos espontâneos entre os trabalhadores da fabricação de wafer, a causa desse risco excessivo não é clara. O estudo da JHU falhou em documentar um papel significativo para os éteres de glicol, e o estudo da UC Davis relacionou apenas marginalmente os éteres de glicol (através da modelagem de exposições e práticas de trabalho autorrelatadas) aos efeitos reprodutivos. Pouco ou nenhum monitoramento foi realizado em qualquer um dos estudos para determinar as exposições a éteres de glicol. Após a conclusão desses estudos, a indústria de semicondutores começou a mudar de éteres de glicol da série de etileno para substitutos como lactato de etila e éteres de glicol da série de propileno.

Conclusão

Com base nos melhores dados disponíveis sobre a incidência anual de lesões e doenças relacionadas ao trabalho, os trabalhadores de semicondutores correm menos riscos do que os trabalhadores de outros setores manufatureiros ou do setor privado (incluindo muitos setores não manufatureiros). Em uma base internacional, parece que os dados estatísticos de acidentes de trabalho e doenças associados a casos de dias de trabalho perdidos podem ser um indicador bastante confiável da experiência mundial de segurança e saúde dos trabalhadores de semicondutores. A indústria patrocinou vários estudos epidemiológicos independentes na tentativa de encontrar respostas para questões de consequências para a saúde reprodutiva relacionadas ao emprego na indústria. Embora não tenha sido estabelecida uma associação definitiva entre abortos espontâneos observados e exposições a éteres de glicol à base de etileno, a indústria começou a usar solventes fotorresistentes alternativos.

 

Voltar

Sábado, abril 02 2011 19: 07

Questões ambientais e de saúde pública

Visão geral da indústria

A indústria eletrônica, comparada a outras indústrias, tem sido vista como “limpa” em termos de seu impacto ambiental. No entanto, os produtos químicos utilizados na fabricação de peças e componentes eletrônicos e os resíduos gerados criam problemas ambientais significativos que devem ser resolvidos em escala global devido ao tamanho da indústria eletrônica. Os resíduos e subprodutos derivados da fabricação de placas de circuito impresso (PWBs), placas de circuito impresso (PCBs) e semicondutores são áreas de interesse que a indústria eletrônica tem perseguido vigorosamente em termos de prevenção da poluição, tecnologia de tratamento e técnicas de reciclagem/recuperação .

Em grande medida, o incentivo para controlar a pegada ambiental dos processos eletrônicos migrou de um ímpeto ambiental para um domínio financeiro. Devido aos custos e responsabilidades associados a resíduos e emissões perigosas, a indústria eletrônica implementou e desenvolveu agressivamente controles ambientais que reduziram consideravelmente o impacto de seus subprodutos e resíduos. Além disso, a indústria eletrônica adotou uma abordagem proativa para incorporar objetivos, ferramentas e técnicas ambientais em seus negócios ambientalmente conscientes. Exemplos dessa abordagem proativa são a eliminação gradual de CFCs e compostos perfluorados e o desenvolvimento de alternativas “amigas do meio ambiente”, bem como a abordagem emergente de “design para o meio ambiente” para o desenvolvimento de produtos.

A fabricação de PWBs, PCBs e semicondutores requer o uso de uma variedade de produtos químicos, técnicas de fabricação especializadas e equipamentos. Devido aos riscos associados a esses processos de fabricação, o gerenciamento adequado de subprodutos químicos, resíduos e emissões é essencial para garantir a segurança dos funcionários da indústria e a proteção do meio ambiente nas comunidades em que residem.

Tabela 1, tabela 2 e tabela 3 apresentam um resumo dos principais subprodutos e resíduos gerados na fabricação de PWBs, PCBs e semicondutores. Além disso, as tabelas apresentam os principais tipos de impacto ambiental e os meios geralmente aceitos de mitigação e controle do fluxo de resíduos. Principalmente, os resíduos que são gerados afetam as águas residuais industriais ou o ar, ou se tornam um resíduo sólido.

Tabela 1. Geração e controles de resíduos de PWB

Etapas do processo

perigoso
materiais residuais

Ambiental 
impacto

Controles1

Material
preparação

nenhum

nenhum

nenhum

Empilhar e fixar

Metais pesados/preciosos
Epóxi/fibra de vidro

Lixo sólido2
Lixo sólido2

Reciclar/recuperar
Reciclar/recuperar

Perfuração

Metais pesados/preciosos
Epóxi/fibra de vidro

Lixo sólido2
Lixo sólido2

Reciclar/recuperar
Reciclar/recuperar

Rebarbar

Metais pesados/preciosos
Epóxi/fibra de vidro

Lixo sólido2
Lixo sólido2

Reciclar/recuperar
Reciclar/recuperar

Sem eletricidade
chapeamento de cobre

Metais

Corrosivos/cáusticos

Fluoretos

Águas Residuais

Águas residuais/ar

Águas Residuais

precipitação química

Neutralização do pH/depuração do ar
(absorção)
neutralização química

Imagiologia

solventes

Corrosivos
solventes

ar

ar
Lixo sólido2

Adsorção, condensação ou
incineração
Lavagem de ar (absorção)
Reciclar/recuperar/incineração

chapeamento padrão

Corrosivos

Metais
Fluoretos

Águas residuais/ar

Águas Residuais
Águas Residuais

Neutralização do pH/depuração do ar
(absorção)
precipitação química
precipitação química

Tira, grava, tira

Amônia
Metais
solventes

ar
Águas Residuais
Lixo sólido2

Lavagem de ar (adsorção)
precipitação química
Reciclar/recuperar/incineração

Máscara de solda

Corrosivos
solventes

Solventes/tintas epóxi

ar
ar

Lixo sólido2

Lavagem de ar (adsorção)
Adsorção, condensação ou
incineração
Reciclar/recuperar/incineração

Revestimento de solda

solventes

Corrosivos
Solda de chumbo/estanho, fluxo

ar

ar
Lixo sólido2

Adsorção, condensação ou
incineração
Lavagem de ar (adsorção)
Reciclar/recuperar

Folheado a ouro

Corrosivos
Corrosivos
Metais
Metais

ar
Águas Residuais
Águas Residuais
Lixo sólido2

Lavagem de ar (adsorção)
neutralização do pH
precipitação química
Reciclar/recuperar

Componente
lenda

solventes

Solventes/tintas

ar

Lixo sólido2

Condensação de adsorção ou
incineração
Reciclar/recuperar/incineração

1. O uso de controles de mitigação depende dos limites de descarga no local específico.

2. Um resíduo sólido é qualquer material descartado, independentemente do seu estado.

Tabela 2. Geração e controles de resíduos de PCB

Etapas do processo

perigoso
materiais residuais

Ambiental 
impacto

Controles

Limpeza

Metais (chumbo)

Águas Residuais

neutralização do pH, química
precipitação, reciclar chumbo

Pasta de solda

Pasta de solda (chumbo/estanho)

Lixo sólido

Reciclar/recuperar

Adesivo
Formulário on line

colas epóxi

Lixo sólido

Incineração

Componente
inserção

   

Fitas plásticas, bobinas e tubos
são reciclados/reutilizados

Cura adesiva e
refluxo de solda

     

Fluxo

Solvente (fluxo IPA)

Lixo sólido

Reciclagem

Soldadura em onda

Metal (escória de solda)

Lixo sólido

Reciclar/recuperar

Inspeção e
retoque

Metal
(recortes de fio de chumbo)

Lixo sólido

Reciclar/recuperar

ensaio

Preenchido sucateado
placas

Lixo sólido

Reciclar/recuperar
(tábuas fundidas para preciosos
recuperação de metais)

Retrabalho e
reparação

Metal (escória de solda)

Lixo sólido

Reciclar/recuperar

Suporte
operações - estêncil
limpeza

Metal
(chumbo/estanho/pasta de solda)

Lixo sólido

Reciclagem/incineração

 

Tabela 3. Geração e controles de resíduos da fabricação de semicondutores

Etapas do processo

perigoso
materiais residuais

Ambiental 
impacto

Controles

Litografia/gravação

solventes
Metais
Corrosivos/Cáusticos
Corrosivos
Ácido sulfúrico
Fluoretos

Lixo sólido
Águas Residuais
Águas Residuais
ar
Lixo sólido
Águas Residuais

Reciclar/recuperar/incineração
precipitação química
neutralização do pH
Lavagem de ar (absorção)
Reciclar/reprocessar
precipitação química

Oxidação

solventes
Corrosivos

Lixo sólido
Águas Residuais

Reciclar/recuperar/incineração
neutralização do pH

doping

Gás venenoso (arsina,
fosfina, diborano,
trifluoreto de boro,
tricloreto de boro, etc.)
Metais (arsênico,
ósforo, boro)

ar



Lixo sólido

Substituição por líquido
fontes/incineração
(pós-combustor)

Reciclar/recuperar

Deposição de vapor químico

Metais

Corrosivos

Lixo sólido

Águas Residuais

Incineração

neutralização do pH

Metalização

solventes
Metais

Lixo sólido
Lixo sólido

Incineração
Reciclar/recuperar

Montagem e teste

solventes
Metais

Lixo sólido
Lixo sólido

Reciclar/recuperar/incineração
Reciclar/recuperar

Limpeza

Corrosivos
Fluoretos

Águas Residuais
Águas Residuais

neutralização do pH
precipitação química

 

Os meios a seguir são geralmente aceitos para mitigar as emissões nas indústrias de PWB, PCB e semicondutores. Os controles escolhidos irão variar de acordo com as capacidades de engenharia, os requisitos da agência reguladora e os constituintes/concentrações específicos do fluxo de resíduos.

Controle de Águas Residuais

precipitação química

A precipitação química é geralmente usada na remoção de partículas ou metais solúveis de efluentes de águas residuais. Uma vez que os metais não se degradam naturalmente e são tóxicos em baixas concentrações, a sua remoção das águas residuais industriais é essencial. Os metais podem ser removidos das águas residuais por meios químicos, uma vez que não são muito solúveis em água; suas solubilidades dependem do pH, concentração de metal, tipo de metal e presença de outros íons. Normalmente, o fluxo de resíduos requer ajuste de pH para o nível adequado para precipitar o metal. A adição de produtos químicos às águas residuais em um esforço para alterar o estado físico dos sólidos dissolvidos e suspensos é necessária. Agentes de precipitação de cal, cáustica e sulfeto são comumente usados. Os agentes precipitantes facilitam a remoção de metais dissolvidos e suspensos por coagulação, sedimentação ou aprisionamento em um precipitado.

Um resultado da precipitação química de águas residuais é o acúmulo de lodo. Por isso, foram desenvolvidos processos de desidratação para reduzir o peso do lodo por meio de centrífugas, filtros prensa, filtros ou leitos de secagem. O lodo desidratado resultante pode então ser enviado para incineração ou aterro.

neutralização do pH

O pH (a concentração de íons de hidrogênio ou acidez) é um importante parâmetro de qualidade em águas residuais industriais. Devido aos efeitos adversos dos extremos de pH nas águas naturais e nas operações de tratamento de esgoto, o pH das águas residuais industriais deve ser ajustado antes da descarga da instalação de fabricação. O tratamento ocorre em uma série de tanques que são monitorados para a concentração de íons de hidrogênio do efluente de águas residuais. Normalmente, o ácido clorídrico ou sulfúrico é usado como neutralizante corrosivo e o hidróxido de sódio é usado como neutralizante cáustico. O agente neutralizador é medido no efluente de águas residuais para ajustar o pH da descarga ao nível desejado.

O ajuste do pH é muitas vezes necessário antes da aplicação de outros processos de tratamento de águas residuais. Tais processos incluem precipitação química, oxidação/redução, sorção de carvão ativado, separação e troca iônica.

Controle de Resíduos Sólidos

Os materiais são um resíduo sólido se forem abandonados ou descartados ao serem descartados; queimado ou incinerado; ou acumulados, armazenados ou tratados antes ou em vez de serem abandonados (Código de Regulamento Federal 40 dos EUA, Seção 261.2). Resíduos perigosos geralmente exibem uma ou mais das seguintes características: inflamabilidade, corrosividade, reatividade, toxicidade. Dependendo da característica do material/resíduo perigoso, vários meios são usados ​​para controlar a substância. A incineração é uma alternativa de tratamento comum para resíduos de solventes e metais gerados durante a fabricação de PWB, PCB e semicondutores.

Incineração

A incineração (pós-combustão) ou destruição térmica tornou-se uma opção popular no manuseio de resíduos inflamáveis ​​e tóxicos. Em muitos casos, resíduos inflamáveis ​​(solventes) são usados ​​como fonte de combustível (mistura de combustível) para incineradores térmicos e catalíticos. A incineração adequada de solventes e resíduos tóxicos fornece a oxidação completa do combustível e converte o material combustível em dióxido de carbono, água e cinzas, não deixando assim nenhum passivo associado a resíduos perigosos residuais. Os tipos comuns de incineração são incineradores térmicos e catalíticos. A seleção do tipo de método de incineração depende da temperatura de combustão, das características do combustível e do tempo de residência. Os incineradores térmicos operam em altas temperaturas e são amplamente utilizados com compostos halogenados. Os tipos de incineradores térmicos incluem forno rotativo, injeção de líquido, lareira fixa, leito fluidizado e outros incineradores de design avançado.

Os incineradores catalíticos oxidam materiais combustíveis (por exemplo, COVs) injetando uma corrente de gás aquecido através de um leito de catalisador. O leito do catalisador maximiza a área de superfície e, ao injetar uma corrente de gás aquecido no leito do catalisador, a combustão pode ocorrer a uma temperatura mais baixa do que a incineração térmica.

Emissões de ar

A incineração também é utilizada no controle das emissões atmosféricas. Absorção e adsorção também são usadas.

Absorção

A absorção de ar é normalmente usada na purificação de emissões atmosféricas corrosivas, passando o contaminante e dissolvendo-o em um líquido não volátil (por exemplo, água). O efluente do processo de absorção normalmente é descartado em um sistema de tratamento de efluentes, onde sofre ajuste de pH.

Adsorção

Adsorção é a aderência (por meio de forças físicas ou químicas) de uma molécula de gás à superfície de outra substância, denominada adsorvente. Normalmente, a adsorção é usada para extrair solventes de uma fonte de emissão de ar. Carvão ativado, alumina ativada ou gel de sílica são adsorventes comumente usados.

Reciclagem

Materiais recicláveis ​​são usados, reutilizados ou recuperados como ingredientes em um processo industrial para fabricar um produto. A reciclagem de materiais e resíduos fornece meios ambientais e econômicos de lidar com tipos específicos de fluxos de resíduos, como metais e solventes. Materiais e resíduos podem ser reciclados internamente ou mercados secundários podem aceitar materiais recicláveis. A seleção da reciclagem como alternativa aos resíduos deve ser avaliada em relação a considerações financeiras, à estrutura regulatória e à tecnologia disponível para reciclar os materiais.

Direção futura

À medida que aumenta a demanda por prevenção da poluição e a indústria busca meios econômicos para lidar com o uso e o desperdício de produtos químicos, a indústria eletrônica deve avaliar novas técnicas e tecnologias para melhorar os métodos de manuseio de materiais perigosos e geração de resíduos. A abordagem end-of-pipe foi substituída por técnicas de design para o meio ambiente, onde as questões ambientais são abordadas ao longo de todo o ciclo de vida de um produto, incluindo: conservação de material; operações de fabricação eficientes; o uso de materiais mais ecológicos; reciclagem, regeneração e recuperação de produtos residuais; e uma série de outras técnicas que irão garantir um menor impacto ambiental para a indústria de fabricação de eletroeletrônicos. Um exemplo é a grande quantidade de água que é usada em muitos enxágues e outras etapas de processamento na indústria de microeletrônica. Em áreas com escassez de água, isso está forçando a indústria a encontrar alternativas. No entanto, é essencial garantir que a alternativa (por exemplo, solventes) não crie problemas ambientais adicionais.

Como exemplo de direções futuras no processo de PWB e PCB, a tabela 4 apresenta várias alternativas para criar práticas mais ambientalmente corretas e prevenir a poluição. As necessidades e abordagens prioritárias foram identificadas.

Tabela 4. Matriz de necessidades prioritárias

Necessidade prioritária (diminuindo
ordem de prioridade)

Abordagem

Tarefas selecionadas

Uso mais eficiente,
regeneração e reciclagem de
produtos químicos úmidos perigosos

Prolongar a vida útil dos eletrolíticos e
banhos de galvanoplastia.
Desenvolver produtos químicos e
processos para permitir a reciclagem
ou regeneração interna.
Elimine o formol de
materiais e químicas.
Promover a reciclagem no local e
recuperação/regeneração.

Pesquisa para prolongar os banhos.
Pesquisa em linha
purificação/regeneração.
Alternativa de pesquisa
químicos.
Modificar regulamentos governamentais
para promover a reciclagem.
Educar a linha de produção sobre
problemas de arrastar para dentro/para fora.

Reduzir os resíduos sólidos gerados
por sucata PWBs, leads e
componentes do lixo
stream.

Desenvolver e promover
reciclagem de sucata PWBs,
condutores e componentes.
Desenvolva um novo controle de processo
e ferramentas de desempenho.
Melhorar a soldabilidade de
PWBs.

Desenvolver infraestrutura para
lidar com material reciclado.
Estabelecer aprimorado
controle de processo e avaliação
ferramentas utilizáveis ​​por pequenos e
empresas de médio porte.
Entregue consistentemente limpo,
placas soldáveis.

Estabelecer melhor fornecedor
relacionamentos para potencializar o
desenvolvimento e aceitação
de ecologicamente correto
materiais.

Promover fornecedor,
fabricante, cliente
parcerias para implementar
materiais ambientais.

Desenvolva um modelo perigoso
gerenciamento de materiais
sistema para pequenos e
PWB de tamanho médio
empresas.

Minimizar o impacto de
uso de materiais perigosos em
Fabricação de PWB.

Reduza o uso de solda de chumbo quando
possível e/ou reduzir o
teor de chumbo da solda.
Desenvolva alternativas para soldar
chapeamento como um etch resistir.

Altere as especificações para aceitar
máscara de solda sobre cobre nu.
Valide a qualidade do lead
alternativas de revestimento.

Use processos aditivos que
são competitivos com os existentes
processos.

Desenvolver simplificado,
aditivo econômico
material e processo
Tecnologias.
Busque fontes alternativas e
abordagens para aditivo
equipamento de capital de processo
necessidades.

Colaborar em projetos para
estabelecer novo aditivo
dielétricos e metalização
tecnologias e processos.

Elimine manchas de orifícios no PWB
fabricação.

Desenvolva resinas sem esfregaço ou
sistemas de perfuração.

Investigar alternativa
laminado e pré-preg
materiais.
Desenvolver o uso de laser e
outras alternativas à perfuração
sistemas.

Reduza o consumo de água
e descarga.

Desenvolver o uso da água
otimização e reciclagem
sistema.
Reduza o número de
etapas de limpeza no PWB
fabricação.
Elimine o manuseio de peças e
preparação para reduzir
relimpeza.

Modifique as especificações para reduzir
requisitos de limpeza.
Investigar alternativa
métodos de manuseio de peças.
Alterar ou eliminar
produtos químicos que requerem
limpeza.

Fonte: MCC 1994.

 

Voltar

" ISENÇÃO DE RESPONSABILIDADE: A OIT não se responsabiliza pelo conteúdo apresentado neste portal da Web em qualquer idioma que não seja o inglês, que é o idioma usado para a produção inicial e revisão por pares do conteúdo original. Algumas estatísticas não foram atualizadas desde a produção da 4ª edição da Enciclopédia (1998)."

Conteúdo

Referências em Microeletrônica e Semicondutores

Conferência Americana de Higienistas Industriais Governamentais (ACGIH). 1989. Avaliação de perigos e tecnologia de controle na fabricação de semicondutores. Chelsea, MI: Lewis Publishers.

—. 1993. Avaliação de perigos e tecnologia de controle na fabricação de semicondutores II. Cincinnati, OH: ACGIH.

—. 1994. Documentação do Valor Limite, Produtos de Decomposição Térmica de Solda de Núcleo de Rosin, como Ácidos de Resina-Colofonia. Cincinnati, OH: ACGIH.

Instituto Nacional de Padrões Americano (ANSI). 1986. Padrão de segurança para robôs industriais e sistemas de robôs industriais. ANSI/RIA R15.06-1986. Nova York: ANSI.

ASKMAR. 1990. Indústria de Computadores: Tendências Críticas para a Década de 1990. Saratoga, CA: Electronic Trend Publications.

Asom, MT, J Mosovsky, RE Leibenguth, JL Zilko e G Cadet. 1991. Geração transitória de arsina durante a abertura de câmaras MBE de fonte sólida. J Cryst Growth 112(2-3):597–599.

Associação das Indústrias de Eletrônicos, Telecomunicações e Equipamentos Comerciais (EEA). 1991. Diretrizes sobre o Uso de Fluxos de Solda de Colofonia (Rosin) na Indústria Eletrônica. Londres: Leichester House EEA.

Balduíno, DG. 1985. Exposição química de gravadores de alumínio de plasma de tetracloreto de carbono. Resumos Estendidos, Electrochem Soc 85(2):449–450.

Baldwin, DG e JH Stewart. 1989. Riscos químicos e de radiação na fabricação de semicondutores. Tecnologia de estado sólido 32(8):131–135.

Baldwin, DG e ME Williams. 1996. Higiene industrial. Em Semiconductor Safety Handbook, editado por JD Bolmen. Park Ridge, NJ: Noyes.

Baldwin, DG, BW King e LP Scarpace. 1988. Implantadores de íons: segurança química e de radiação. Tecnologia de estado sólido 31(1):99–105.

Baldwin, DG, JR Rubin e MR Horowitz. 1993. Exposições de higiene industrial na fabricação de semicondutores. SSA Journal 7(1):19–21.

Bauer, S, I Wolff, N Werner e P Hoffman. 1992a. Perigos para a saúde na indústria de semicondutores, uma revisão. Pol J Occup Med 5(4):299–314.

Bauer, S, N Werner, I Wolff, B Damme, B Oemus e PH Hoffman. 1992b. Investigações toxicológicas na indústria de semicondutores: II. Estudos sobre a toxicidade subaguda por inalação e genotoxicidade de resíduos gasosos do processo de corrosão por plasma de alumínio. Toxicol Ind Health 8(6):431–444.

Indústrias Bliss. 1996. Literatura do sistema de captura de partículas de escória de solda. Fremont, CA: Bliss Industries.

Bureau de Estatísticas do Trabalho (BLS). 1993. Pesquisa Anual de Lesões e Doenças Ocupacionais. Washington, DC: BLS, Departamento do Trabalho dos EUA.

—. 1995. Emprego e Salários Médias Anuais, 1994. Boletim. 2467. Washington, DC: BLS, Departamento do Trabalho dos EUA.

Clark, RH. 1985. Manual de Fabricação de Circuitos Impressos. Nova York: Van Nostrand Reinhold Company.

Cohen, R. 1986. Radiofrequência e radiação de micro-ondas na indústria de microeletrônica. Em State of the Art Reviews—Occupational Medicine: The Microelectronics Industry, editado por J LaDou. Filadélfia, PA: Hanley & Belfus, Inc.

Coombs, CF. 1988. Handbook de Circuitos Impressos, 3ª ed. Nova York: McGraw-Hill Book Company.

Conteúdo, RM. 1989. Métodos de controle para metal e metalóides em materiais III-V epitaxia em fase de vapor. Em Hazard Assessment and Control Technology in Semiconductor Manufacturing, editado pela American Conference of Governmental Industrial Hygienists. Chelsea, MI: Lewis Publishers.

Correa A, RH Gray, R Cohen, N Rothman, F Shah, H Seacat e M Corn. 1996. Éteres de etileno glicol e riscos de aborto espontâneo e subfertilidade. Am J Epidemiol 143(7):707–717.

Crawford, WW, D Green, WR Knolle, HM Marcos, JA Mosovsky, RC Petersen, PA Testagrossa e GH Zeman. 1993. Exposição de campo magnético em salas limpas de semicondutores. Em Avaliação de Perigos e Tecnologia de Controle na Fabricação de Semicondutores II. Cincinnati, OH: ACGIH.

Escher, G, J Weathers e B Labonville. 1993. Considerações de projeto de segurança em fotolitografia com excimer laser de UV profundo. Em Avaliação de Perigos e Tecnologia de Controle na Fabricação de Semicondutores II. Cincinnati, OH: Conferência Americana de Higienistas Industriais Governamentais.

Eskenazi B, EB Gold, B Lasley, SJ Samuels, SK Hammond, S Wright, MO Razor, CJ Hines e MB Schenker. 1995. Monitoramento prospectivo de perda fetal precoce e aborto espontâneo clínico entre trabalhadoras de semicondutores. Am J Indust Med 28(6):833–846.

Flipp, N, H Hunsaker e P Herring. 1992. Investigação de emissões de hidretos durante a manutenção de equipamentos de implantação iônica. Apresentado na Conferência Americana de Higiene Industrial em junho de 1992, Boston — Documento 379 (não publicado).

Goh, CL e SK Ng. 1987. Dermatite de contato aerotransportada para colofonia em fluxo de solda. Dermatite de contato 17(2):89–93.

Hammond SK, CJ Hines MF Hallock, SR Woskie, S Abdollahzadeh, CR Iden, E Anson, F Ramsey e MB Schenker. 1995. Estratégia de avaliação de exposição em camadas no Semiconductor Health Study. Am J Indust Med 28(6):661–680.

Harrison, RJ. 1986. Arseneto de gálio. Em State of the Art Reviews—Occupational Medicine: The Microelectronics Industry, editado por J LaDou Filadélfia, PA: Hanley & Belfus, Inc.

Hathaway, GL, NH Proctor, JP Hughes e ML Fischman. 1991. Chemical Hazards of the Workplace, 3ª ed. Nova York: Van Nostrand Reinhold.

Hausen, BM, Krohn, e Budianto E. 1990. Alergia de contato devido à colofonia (VII). Estudos de sensibilização com produtos de oxidação de ácido abiético e ácidos relacionados. Entre em contato com a Dermat 23(5):352–358.

Comissão de Saúde e Segurança. 1992. Código de Prática Aprovado—Controle de Sensibilizadores Respiratórios. Londres: Health and Safety Executive.

Helb, GK, RE Caffrey, ET Eckroth, QT Jarrett, CL Fraust e JA Fulton. 1983. Processamento de plasma: Algumas considerações de segurança, saúde e engenharia. Tecnologia de estado sólido 24(8):185–194.

Hines, CJ, S Selvin, SJ Samuels, SK Hammond, SR Woskie, MF Hallock e MB Schenker. 1995. Análise de agrupamento hierárquico para avaliação de exposição de trabalhadores no Semiconductor Health Study. Am J Indust Med 28(6):713–722.

Horowitz, MR. 1992. Questões de radiação não ionizante em instalações de pesquisa e desenvolvimento de semicondutores. Apresentado na Conferência Americana de Higiene Industrial em junho de 1992, Boston — Documento 122 (não publicado).

Jones, JH. 1988. Exposição e avaliação de controle da fabricação de semicondutores. AIP Conf. Proc. (Segurança fotovoltaica) 166:44–53.

LaDou, J (ed.). 1986. State of the Art Reviews—Occupational Medicine: The Microelectronics Industry. Filadélfia, PA: Hanley e Belfus, Inc.

Lassiter, DV. 1996. Vigilância de acidentes de trabalho e doenças em nível internacional. Anais da Terceira Conferência Internacional de ESH, Monterey, CA.

Leach-Marshall, JM. 1991. Análise de radiação detectada de elementos de processo expostos do sistema de teste de vazamento fino de criptônio-85. SSA Journal 5(2):48–60.

Associação das Indústrias de Chumbo. 1990. Segurança na Solda, Diretrizes de Saúde para Soldadores e Soldadores. Nova York: Lead Industries Association, Inc.

Lenihan, KL, JK Sheehy e JH Jones. 1989. Avaliação das exposições no processamento de arsenieto de gálio: um estudo de caso. Em Hazard Assessment and Control Technology in Semiconductor Manufacturing, editado pela American Conference of Governmental Industrial Hygienists. Chelsea, MI: Lewis Publishers.

Maletskos, CJ e PR Hanley. 1983. Considerações de proteção contra radiação de sistemas de implantação iônica. IEEE Trans em Ciência Nuclear NS-30:1592–1596.

McCarthy, CM. 1985. Exposição do trabalhador durante a manutenção de implantadores de íons na indústria de semicondutores. Tese de mestrado, University of Utah, Salt Lake City, UT, 1984. Resumido em Extended Abstracts, Electrochem Soc 85(2):448.

McCurdy SA, C Pocekay, KS Hammond, SR Woskie, SJ Samuels e MB Schenker. 1995. Uma pesquisa transversal de resultados respiratórios e de saúde geral entre os trabalhadores da indústria de semicondutores. Am J Indust Med 28(6):847–860.

McIntyre, AJ e BJ Sherin. 1989. Arsenieto de gálio: perigos, avaliação e controle. Tecnologia de estado sólido 32(9):119–126.

Corporação de Tecnologia de Microeletrônica e Computadores (MCC). 1994. Roteiro Ambiental da Indústria Eletrônica. Austin, Texas: MCC.

—. 1996. Roteiro Ambiental da Indústria Eletrônica. Austin, Texas: MCC.

Mosovsky, JA, D Rainer, T Moses e WE Quinn. 1992. Geração de hidreto transiente durante o processamento de semicondutores III. Appl Occup Environ Hyg 7(6):375–384.

Mueller, MR e RF Kunesh. 1989. Implicações de segurança e saúde de agentes químicos secos. Em Hazard Assessment and Control Technology in Semiconductor Manufacturing, editado pela American Conference of Governmental Industrial Hygienists. Chelsea, MI: Lewis Publishers.

O'Mara, WC. 1993. Displays de tela plana de cristal líquido. Nova York: Van Nostrand Reinhold.

PACE Inc. 1994. Manual de extração de fumaça. Laurel, MD: PACE Inc.

Pastides, H, EJ Calabrese, DW Hosmer, Jr e DR Harris. 1988. Aborto espontâneo e sintomas de doença geral entre fabricantes de semicondutores. J Occup Med 30:543–551.

Pocekay D, SA McCurdy, SJ Samuels e MB Schenker. 1995. Um estudo transversal de sintomas musculoesqueléticos e fatores de risco em trabalhadores de semicondutores. Am J Indust Med 28(6):861–871.

Rainer, D, WE Quinn, JA Mosovsky e MT Asom. 1993. Geração transiente de hidreto III-V, Solid State Technology 36(6):35–40.

Rhoades, BJ, DG Sands e VD Mattera. 1989. Sistemas de controle ambiental e de segurança usados ​​em reatores de deposição de vapor químico (CVD) na AT&T-Microelectronics-Reading. Appl Ind Hyg 4(5):105–109.

Rogers, JW. 1994. Segurança de radiação em semicondutores. Apresentado na Conferência da Associação de Segurança de Semicondutores de abril de 1994, Scottsdale, AZ (não publicado).

Rooney, FP e J Leavey. 1989. Considerações de segurança e saúde de uma fonte de litografia de raios-x. Em Hazard Assessment and Control Technology in Semiconductor Manufacturing, editado pela American Conference of Governmental Industrial Hygienists. Chelsea, MI: Lewis Publishers.

Rosenthal, FS e S Abdollahzadeh. 1991. Avaliação de campos elétricos e magnéticos de frequência extremamente baixa (ELF) em salas de fabricação de microeletrônica. Appl Occup Environ Hyg 6(9):777–784.

Roychowdhury, M. 1991. Segurança, higiene industrial e considerações ambientais para sistemas de reatores MOCVD. Tecnologia de estado sólido 34(1):36–38.

Scarpace, L, M Williams, D Baldwin, J Stewart e D Lassiter. 1989. Resultados da amostragem de higiene industrial em operações de fabricação de semicondutores. Em Hazard Assessment and Control Technology in Semiconductor Manufacturing, editado pela American Conference of Governmental Industrial Hygienists. Chelsea, MI: Lewis Publishers.

Schenker MB, EB Gold, JJ Beaumont, B Eskenazi, SK Hammond, BL Lasley, SA McCurdy, SJ Samuels, CL Saiki e SH Swan. 1995. Associação de aborto espontâneo e outros efeitos reprodutivos com o trabalho na indústria de semicondutores. Am J Indust Med 28(6):639–659.

Schenker, M, J Beaumont, B Eskenazi, E Gold, K Hammond, B Lasley, S McCurdy, S Samuels e S Swan. 1992. Relatório Final para a Associação da Indústria de Semicondutores — Estudo Epidemiológico de Efeitos Reprodutivos e Outros Efeitos na Saúde entre Trabalhadores Empregados na Fabricação de Semicondutores. Davis, CA: Universidade da Califórnia.

Schmidt, R, H Scheufler, S Bauer, L Wolff, M Pelzing e R Herzschuh. 1995. Investigações toxicológicas na indústria de semicondutores: III: Estudos sobre toxicidade pré-natal causada por resíduos de processos de corrosão por plasma de alumínio. Toxicol Ind Health 11(1):49–61.

SEMATECH. 1995. Documento de Transferência de Segurança de Silano, 96013067 A-ENG. Austin, Texas: SEMATECH.

—. 1996. Guia Interpretativo para SEMI S2-93 e SEMI S8-95. Austin, TX: SEMATECH.

Associação da Indústria de Semicondutores (SIA). 1995. Dados de previsão de vendas mundiais de semicondutores. San Jose, CA: SIA.

Sheehy, JW e JH Jones. 1993. Avaliação de exposições e controles de arsênico na produção de arsenieto de gálio. Am Ind Hyg Assoc J 54(2):61–69.

Sóbrio, DJ. 1995. Seleção de laminados usando critérios de “adequação para uso”, Surface Mount Technology (SMT). Libertyville, IL: IHS Publishing Group.

Wade, R, M Williams, T Mitchell, J Wong e B Tusé. 1981. Estudo da indústria de semicondutores. São Francisco, CA: Departamento de Relações Industriais da Califórnia, Divisão de Segurança e Saúde Ocupacional.